您最多选择25个标签 标签必须以中文、字母或数字开头,可以包含连字符 (-),并且长度不得超过35个字符
asyncsys.heap 8ae068d020 添加 'weaver/rapidSmith.sh' 1年前
doc 上传文件至 'doc' 1年前
planner 添加 'planner/vtr.sh' 1年前
weaver 添加 'weaver/rapidSmith.sh' 1年前
README.md 更新 'README.md' 2 年前
edif2blif.patch 上传了patch 2 年前
rapidSmith.patch 上传了patch 2 年前
vtr.patch 上传了patch 2 年前

PinTu电子设计自动化(EDA)软件,是国际上最早的面向BBD型自定时型异步电路的EDA工具链。此工具提供了丰富的异步微流水线模版和全异步算子模块,使用者采用Verilog HDL并结合模版可设计出RTL级异步电路。此工具针对异步设计进行综合、延迟匹配与时序验证和仿真功能,完成从RTL级到门级工艺网表的综合,提供面向FPGA的布图和比特流生成功能,以及商用EDA的接口。

https://openi.org.cn/PinTu/

eda

Diff