|
- /*
-
- Xilinx Vivado v2020.1 (64-bit) [Major: 2020, Minor: 1]
- SW Build: 2902540 on Wed May 27 19:54:35 MDT 2020
- IP Build: 2902112 on Wed May 27 22:43:36 MDT 2020
-
- Process ID (PID): 32673
- License: Customer
-
- Current time: Sat Apr 23 18:07:27 CST 2022
- Time zone: China Standard Time (Asia/Shanghai)
-
- OS: Ubuntu
- OS Version: 5.4.0-80-generic
- OS Architecture: amd64
- Available processors (cores): 20
-
- Display: localhost:12.0
- Screen size: 1920x1080
- Screen resolution (DPI): 100
- Available screens: 1
- Default font: family=Dialog,name=Dialog,style=plain,size=12
-
- Java version: 9.0.4 64-bit
- Java home: /tools/Xilinx/Vivado/2020.1/tps/lnx64/jre9.0.4
- Java executable location: /tools/Xilinx/Vivado/2020.1/tps/lnx64/jre9.0.4/bin/java
- Java initial memory (-Xms): 128 MB
- Java maximum memory (-Xmx): 3 GB
-
-
- User name: lq
- User home directory: /home/lq
- User working directory: /home/lq/dma_try
- User country: US
- User language: en
- User locale: en_US
-
- RDI_BASEROOT: /tools/Xilinx/Vivado
- HDI_APPROOT: /tools/Xilinx/Vivado/2020.1
- RDI_DATADIR: /tools/Xilinx/Vivado/2020.1/data
- RDI_BINDIR: /tools/Xilinx/Vivado/2020.1/bin
-
- Vivado preferences file location: /home/lq/.Xilinx/Vivado/2020.1/vivado.xml
- Vivado preferences directory: /home/lq/.Xilinx/Vivado/2020.1/
- Vivado layouts directory: /home/lq/.Xilinx/Vivado/2020.1/data/layouts
- PlanAhead jar file location: /tools/Xilinx/Vivado/2020.1/lib/classes/planAhead.jar
- Vivado log file location: /home/lq/dma_try/vivado.log
- Vivado journal file location: /home/lq/dma_try/vivado.jou
- Engine tmp dir: ./.Xil/Vivado-32673-stat
-
- Xilinx Environment Variables
- ----------------------------
- XILINX: /tools/Xilinx/Vivado/2020.1/ids_lite/ISE
- XILINX_DSP: /tools/Xilinx/Vivado/2020.1/ids_lite/ISE
- XILINX_PLANAHEAD: /tools/Xilinx/Vivado/2020.1
- XILINX_SDK: /tools/Xilinx/Vitis/2020.1
- XILINX_VITIS: /tools/Xilinx/Vitis/2020.1
- XILINX_VIVADO: /tools/Xilinx/Vivado/2020.1
- XILINX_VIVADO_HLS: /tools/Xilinx/Vivado/2020.1
-
-
- GUI allocated memory: 136 MB
- GUI max memory: 3,072 MB
- Engine allocated memory: 1,413 MB
-
- Copyright 1986-2020 Xilinx, Inc. All Rights Reserved.
-
- */
-
- // TclEventType: START_GUI
- // Tcl Message: start_gui
- // Tcl Command: 'rdi::info_commands {device::*}'
- // Tcl Command: 'rdi::info_commands {debug::*}'
- // Tcl Command: 'rdi::info_commands {*}'
- // HMemoryUtils.trashcanNow. Engine heap size: 1,413 MB. GUI used memory: 65 MB. Current time: 4/23/22, 6:07:28 PM CST
- // WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
- // WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
- // WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
- // WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
- // WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
- // WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
- // WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
- // WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
- // WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
- // WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
- // WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
- // WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
- // WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
- // WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
- // WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
- // WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
- // WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
- // HMemoryUtils.trashcanNow. Engine heap size: 1,446 MB. GUI used memory: 63 MB. Current time: 4/23/22, 6:14:13 PM CST
- // WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
- // WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
- // WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
- selectList(PAResourceQtoS.SyntheticaGettingStartedView_RECENT_PROJECTS, "/home/lq/dma_try/dma_try.xpr", 0); // r (J, cs)
- // [GUI Memory]: 94 MB (+95940kb) [00:11:02]
- // [Engine Memory]: 1,447 MB (+1366075kb) [00:11:02]
- // Opening Vivado Project: /home/lq/dma_try/dma_try.xpr. Version: Vivado v2020.1
- // bz (cs): Open Project : addNotify
- // TclEventType: DEBUG_PROBE_SET_CHANGE
- // Tcl Message: open_project /home/lq/dma_try/dma_try.xpr
- // TclEventType: MSGMGR_MOVEMSG
- // TclEventType: FILE_SET_NEW
- // TclEventType: RUN_FAILED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_CURRENT
- // TclEventType: PROJECT_DASHBOARD_NEW
- // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
- // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
- // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
- // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
- // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
- // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
- // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
- // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
- // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
- // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
- // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
- // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PROJECT_NEW
- // [GUI Memory]: 115 MB (+17284kb) [00:11:07]
- // WARNING: HEventQueue.dispatchEvent() is taking 1541 ms.
- // Tcl Message: open_project /home/lq/dma_try/dma_try.xpr
- // Tcl Message: Scanning sources... Finished scanning sources
- // Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories
- // Tcl Message: INFO: [IP_Flow 19-1700] Loaded user IP repository '/home/lq/dma_try'.
- // Tcl Message: INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/tools/Xilinx/Vivado/2020.1/data/ip'.
- // Project name: dma_try; location: /home/lq/dma_try; part: xczu3eg-sbva484-1-e
- // Tcl Message: open_project: Time (s): cpu = 00:00:09 ; elapsed = 00:00:06 . Memory (MB): peak = 7142.562 ; gain = 25.027 ; free physical = 81270 ; free virtual = 140899
- dismissDialog("Open Project"); // bz (cs)
- // HMemoryUtils.trashcanNow. Engine heap size: 1,506 MB. GUI used memory: 72 MB. Current time: 4/23/22, 6:18:28 PM CST
- // a (cs): Critical Messages: addNotify
- // [Engine Memory]: 1,529 MB (+9407kb) [00:11:11]
- // Tcl Message: update_compile_order -fileset sources_1
- selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK"); // f (a)
- dismissDialog("Critical Messages"); // a (cs)
- // [GUI Memory]: 123 MB (+2055kb) [00:11:14]
- selectTab((HResource) null, (HResource) null, "Messages", 1); // aL (aI, cs)
- selectCheckBox(PAResourceItoN.MsgView_CRITICAL_WARNINGS, (String) null, false); // g (aR, cs): FALSE
- selectCheckBox(PAResourceItoN.MsgView_WARNING_MESSAGES, (String) null, false); // g (aR, cs): FALSE
- selectCheckBox(PAResourceItoN.MsgView_INFORMATION_MESSAGES, (String) null, false); // g (aR, cs): FALSE
- expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v)]", 2); // B (F, cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v), design_1_i : design_1 (design_1.bd)]", 3, true); // B (F, cs) - Node
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v), design_1_i : design_1 (design_1.bd)]", 3, true, false, false, false, false, true); // B (F, cs) - Double Click - Node
- // bz (cs): Open Block Design : addNotify
- // TclEventType: LOAD_FEATURE
- // Tcl Message: open_bd_design {/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd}
- // TclEventType: RSB_CHANGE_CURRENT_DIAGRAM
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: LOAD_FEATURE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // Tcl Message: Adding component instance block -- xilinx.com:ip:zynq_ultra_ps_e:3.3 - zynq_ultra_ps_e_0
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_OPEN_DIAGRAM
- // [GUI Memory]: 131 MB (+1807kb) [00:11:23]
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: Adding component instance block -- xilinx.com:ip:axi_dma:7.1 - axi_dma_0
- // Tcl Message: Excluding slave segment /zynq_ultra_ps_e_0/SAXIGP2/HP0_LPS_OCM from address space /axi_dma_0/Data_MM2S. Excluding slave segment /zynq_ultra_ps_e_0/SAXIGP2/HP0_LPS_OCM from address space /axi_dma_0/Data_MM2S. Excluding slave segment /zynq_ultra_ps_e_0/SAXIGP2/HP0_LPS_OCM from address space /axi_dma_0/Data_MM2S. Excluding slave segment /zynq_ultra_ps_e_0/SAXIGP2/HP0_LPS_OCM from address space /axi_dma_0/Data_S2MM. Successfully read diagram <design_1> from BD file </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd>
- closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: RSB_LOCK_CHANGE
- dismissDialog("Open Block Design"); // bz (cs)
- selectTab((HResource) null, (HResource) null, "Messages", 1); // aL (aI, cs)
- selectButton(PAResourceQtoS.SystemBuilderView_ADD_IP, "System_RSB_ADD_IP"); // E (g, cs)
- selectButton(PAResourceQtoS.RSBApplyAutomationBar_RUN_CONNECTION_AUTOMATION, "Run Connection Automation"); // h (dq, cs)
- // ai (cs): Run Connection Automation: addNotify
- selectCheckBoxTree(PAResourceAtoD.ApplyRSBMultiAutomationDialog_CHECKBOX_TREE, "[All Automation (1 out of 2 selected), axi_dma_0]", 1, true, true, ui.utils.collection.couples.TriState.True); // aw (J, ai) - Node
- selectCheckBoxTree(PAResourceAtoD.ApplyRSBMultiAutomationDialog_CHECKBOX_TREE, "[All Automation (1 out of 2 selected), zynq_ultra_ps_e_0]", 3, true, false, ui.utils.collection.couples.TriState.False); // aw (J, ai) - Node
- selectCheckBoxTree(PAResourceAtoD.ApplyRSBMultiAutomationDialog_CHECKBOX_TREE, "[All Automation (1 out of 2 selected), zynq_ultra_ps_e_0]", 3, true, false, ui.utils.collection.couples.TriState.False); // aw (J, ai) - Node
- selectCheckBoxTree(PAResourceAtoD.ApplyRSBMultiAutomationDialog_CHECKBOX_TREE, "[All Automation (2 out of 2 selected), zynq_ultra_ps_e_0]", 3, true, true, ui.utils.collection.couples.TriState.True); // aw (J, ai) - Node
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (ai)
- dismissDialog("Run Connection Automation"); // ai (cs)
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // bz (cs): Run Connection Automation : addNotify
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_ADD_OBJECT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: startgroup
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config { Clk_master {Auto} Clk_slave {Auto} Clk_xbar {Auto} Master {/zynq_ultra_ps_e_0/M_AXI_HPM0_FPD} Slave {/axi_dma_0/S_AXI_LITE} ddr_seg {Auto} intc_ip {New AXI Interconnect} master_apm {0}} [get_bd_intf_pins axi_dma_0/S_AXI_LITE]
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_ADD_OBJECT
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_CHANGE_CURRENT_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_ADD_OBJECT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: XGUI_RESET_GUI_ELEMENT
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config { Clk_master {Auto} Clk_slave {Auto} Clk_xbar {Auto} Master {/axi_dma_0/M_AXI_MM2S} Slave {/zynq_ultra_ps_e_0/S_AXI_HP0_FPD} ddr_seg {Auto} intc_ip {New AXI SmartConnect} master_apm {0}} [get_bd_intf_pins zynq_ultra_ps_e_0/S_AXI_HP0_FPD]
- // Tcl Message: Excluding slave segment /zynq_ultra_ps_e_0/SAXIGP2/HP0_LPS_OCM from address space /axi_dma_0/Data_MM2S.
- // Tcl Message: endgroup
- dismissDialog("Run Connection Automation"); // bz (cs)
- // HMemoryUtils.trashcanNow. Engine heap size: 1,574 MB. GUI used memory: 80 MB. Current time: 4/23/22, 6:19:03 PM CST
- selectButton(PAResourceQtoS.RSBApplyAutomationBar_RUN_CONNECTION_AUTOMATION, "Run Connection Automation"); // h (dq, cs)
- // ai (cs): Run Connection Automation: addNotify
- selectCheckBoxTree(PAResourceAtoD.ApplyRSBMultiAutomationDialog_CHECKBOX_TREE, "[All Automation (0 out of 2 selected), axi_dma_0]", 1, true, false, ui.utils.collection.couples.TriState.False); // aw (J, ai) - Node
- selectCheckBoxTree(PAResourceAtoD.ApplyRSBMultiAutomationDialog_CHECKBOX_TREE, "[All Automation (1 out of 2 selected), axi_dma_0]", 1, true, true, ui.utils.collection.couples.TriState.True); // aw (J, ai) - Node
- selectCheckBoxTree(PAResourceAtoD.ApplyRSBMultiAutomationDialog_CHECKBOX_TREE, "[All Automation (2 out of 2 selected), zynq_ultra_ps_e_0]", 3, true, true, ui.utils.collection.couples.TriState.True); // aw (J, ai) - Node
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (ai)
- dismissDialog("Run Connection Automation"); // ai (cs)
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_CLOSE_DIAGRAM
- // TclEventType: RSB_CHANGE_CURRENT_DIAGRAM
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // bz (cs): Run Connection Automation : addNotify
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: startgroup
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config { Clk_master {Auto} Clk_slave {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Clk_xbar {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Master {/axi_dma_0/M_AXI_S2MM} Slave {/zynq_ultra_ps_e_0/S_AXI_HP0_FPD} ddr_seg {Auto} intc_ip {/axi_smc} master_apm {0}} [get_bd_intf_pins axi_dma_0/M_AXI_S2MM]
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config { Clk_master {Auto} Clk_slave {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Clk_xbar {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Master {/zynq_ultra_ps_e_0/M_AXI_HPM1_FPD} Slave {/axi_dma_0/S_AXI_LITE} ddr_seg {Auto} intc_ip {/ps8_0_axi_periph} master_apm {0}} [get_bd_intf_pins zynq_ultra_ps_e_0/M_AXI_HPM1_FPD]
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: XGUI_RESET_GUI_ELEMENT
- // Tcl Message: endgroup
- dismissDialog("Run Connection Automation"); // bz (cs)
- // [GUI Memory]: 143 MB (+5890kb) [00:11:57]
- selectButton(PAResourceQtoS.SystemBuilderView_ADD_IP, "System_RSB_ADD_IP"); // E (g, cs)
- selectButton(PAResourceQtoS.SystemBuilderView_ADD_IP, "System_RSB_ADD_IP"); // E (g, cs)
- selectButton(PAResourceQtoS.SystemBuilderView_ADD_IP, "System_RSB_ADD_IP"); // E (g, cs)
- setText("PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE_SEARCH_FIELD", "read_"); // OverlayTextField (az, ResizableWindow)
- selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 0, "read_add_one_v1_0", 0, false); // L (J, ResizableWindow)
- expandTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 0); // L (J, ResizableWindow)
- selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 0, "read_add_one_v1_0", 0, false, false, false, false, false, true); // L (J, ResizableWindow) - Double Click
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_ADD_OBJECT
- // Tcl Message: startgroup
- // Tcl Message: create_bd_cell -type ip -vlnv user.org:user:read_add_one:1.0 read_add_one_0
- // Tcl Message: endgroup
- // [Engine Memory]: 1,607 MB (+2102kb) [00:12:07]
- // Tcl Command: 'set_property location {2 500 116} [get_bd_cells read_add_one_0]'
- // TclEventType: RSB_CANVAS_LOCATION
- // Tcl Message: set_property location {2 500 116} [get_bd_cells read_add_one_0]
- // HMemoryUtils.trashcanNow. Engine heap size: 1,608 MB. GUI used memory: 82 MB. Current time: 4/23/22, 6:19:28 PM CST
- selectButton(PAResourceQtoS.RSBApplyAutomationBar_RUN_CONNECTION_AUTOMATION, "Run Connection Automation"); // h (dq, cs)
- // ai (cs): Run Connection Automation: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (ai)
- dismissDialog("Run Connection Automation"); // ai (cs)
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config { Clk_master {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Clk_slave {Auto} Clk_xbar {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Master {/zynq_ultra_ps_e_0/M_AXI_HPM0_FPD} Slave {/read_add_one_0/control_AXI} ddr_seg {Auto} intc_ip {/ps8_0_axi_periph} master_apm {0}} [get_bd_intf_pins read_add_one_0/control_AXI]
- // TclEventType: RSB_SCRIPT_TASK
- // bz (cs): Run Connection Automation : addNotify
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: XGUI_RESET_GUI_ELEMENT
- // Tcl Message: Slave segment '/read_add_one_0/control_AXI/reg0' is being assigned into address space '/zynq_ultra_ps_e_0/Data' at <0xA001_0000 [ 64K ]>.
- dismissDialog("Run Connection Automation"); // bz (cs)
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_in] [get_bd_intf_pins axi_dma_0/M_AXIS_MM2S]
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_out] [get_bd_intf_pins axi_dma_0/S_AXIS_S2MM]
- // Run Command: PAResourceCommand.PACommandNames_SAVE_RSB_DESIGN
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_LOCK_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // Tcl Message: save_bd_design
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd> Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui>
- // Elapsed time: 15 seconds
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 21, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
- // A (cs): No Implementation Results Available: addNotify
- selectButton(RDIResource.BaseDialog_YES, "Yes"); // a (A)
- // bz (cs): Resetting Runs : addNotify
- dismissDialog("No Implementation Results Available"); // A (cs)
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // Tcl Message: reset_run synth_1
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // Tcl Message: reset_run design_1_synth_1
- // f (cs): Launch Runs: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (f)
- // TclEventType: RSB_SCRIPT_TASK
- dismissDialog("Launch Runs"); // f (cs)
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: launch_runs impl_1 -to_step write_bitstream -jobs 18
- // bz (cs): Generate Bitstream : addNotify
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // HMemoryUtils.trashcanNow. Engine heap size: 1,628 MB. GUI used memory: 85 MB. Current time: 4/23/22, 6:19:53 PM CST
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_CLOSE_DIAGRAM
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd>
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: VHDL Output written to : /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/synth/design_1.v VHDL Output written to : /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/sim/design_1.v VHDL Output written to : /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/hdl/design_1_wrapper.v
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/xbar . INFO: [BD 41-1029] Generation completed for the IP Integrator block rst_ps8_0_200M .
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: Exporting to file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ip/design_1_axi_smc_0/bd_0/hw_handoff/design_1_axi_smc_0.hwh Generated Block Design Tcl file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ip/design_1_axi_smc_0/bd_0/hw_handoff/design_1_axi_smc_0_bd.tcl Generated Hardware Definition File /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ip/design_1_axi_smc_0/bd_0/synth/design_1_axi_smc_0.hwdef
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block axi_smc . INFO: [BD 41-1029] Generation completed for the IP Integrator block read_add_one_0 .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s00_couplers/auto_ds .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s00_couplers/auto_pc .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s01_couplers/auto_ds .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s01_couplers/auto_pc .
- // Tcl Message: Exporting to file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/hw_handoff/design_1.hwh Generated Block Design Tcl file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/hw_handoff/design_1_bd.tcl Generated Hardware Definition File /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/synth/design_1.hwdef
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RUN_LAUNCH
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RUN_LAUNCH
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_MODIFY
- // Tcl Message: [Sat Apr 23 18:20:03 2022] Launched design_1_synth_1, synth_1... Run output will be captured here: design_1_synth_1: /home/lq/dma_try/dma_try.runs/design_1_synth_1/runme.log synth_1: /home/lq/dma_try/dma_try.runs/synth_1/runme.log [Sat Apr 23 18:20:03 2022] Launched impl_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/impl_1/runme.log
- // Tcl Message: launch_runs: Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 7437.527 ; gain = 0.000 ; free physical = 80983 ; free virtual = 140628
- // Elapsed time: 12 seconds
- dismissDialog("Generate Bitstream"); // bz (cs)
- // TclEventType: RUN_STATUS_CHANGE
- // Elapsed time: 37 seconds
- selectTab((HResource) null, (HResource) null, "Sources", 0); // aL (aI, cs)
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // HMemoryUtils.trashcanNow. Engine heap size: 1,661 MB. GUI used memory: 86 MB. Current time: 4/23/22, 6:22:43 PM CST
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // WARNING: HEventQueue.dispatchEvent() is taking 2564 ms.
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // ag (cs): Bitstream Generation Completed: addNotify
- // aH (cs): Feedback Request: addNotify
- // Elapsed time: 329 seconds
- dismissDialog("Bitstream Generation Completed"); // ag (cs)
- selectButton(PAResourceTtoZ.TouchpointSurveyDialog_NO, "No"); // a (aH)
- dismissDialog("Feedback Request"); // aH (cs)
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- // Elapsed time: 95 seconds
- selectMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_PROJECT, "Project"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_CHECKPOINT, "Checkpoint"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_IP, "IP"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_TEXT_EDITOR, "Text Editor"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_EXPORT, "Export"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_EXPORT, "Export"); // af (cs)
- selectMenuItem(PAResourceCommand.PACommandNames_EXPORT_HARDWARE, "Export Hardware..."); // ai (cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // aa (q, cs)
- // Run Command: PAResourceCommand.PACommandNames_EXPORT_HARDWARE
- // Tcl Command: 'get_property pfm_name [get_files -all {/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd}]'
- // Tcl Command: 'get_property pfm_name [get_files -all {/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd}]'
- // k (cs): Export Hardware Platform: addNotify
- selectButton("NEXT", "Next >"); // JButton (j, k)
- selectRadioButton((HResource) null, "Include bitstream. This platform includes the complete hardware implementation and bitstream, in addition to the hardware specification for software tools."); // b (a, k)
- selectButton("NEXT", "Next >"); // JButton (j, k)
- selectButton("NEXT", "Next >"); // JButton (j, k)
- selectButton("OptionPane.button", "Yes"); // JButton (v, C)
- // Tcl Command: 'get_property pfm_name [get_files -all {/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd}]'
- // Tcl Command: 'set_property pfm_name {} [get_files -all {/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd}]'
- selectButton("FINISH", "Finish"); // JButton (j, k)
- // 'm' command handler elapsed time: 8 seconds
- // Tcl Message: set_property pfm_name {} [get_files -all {/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd}]
- dismissDialog("Export Hardware Platform"); // k (cs)
- // bz (cs): Export Hardware Platform : addNotify
- // Tcl Message: write_hw_platform -fixed -include_bit -force -file /home/lq/dma_try/design_1_wrapper.xsa
- // Tcl Message: INFO: [Vivado 12-4895] Creating Hardware Platform: /home/lq/dma_try/design_1_wrapper.xsa ...
- // HMemoryUtils.trashcanNow. Engine heap size: 1,724 MB. GUI used memory: 96 MB. Current time: 4/23/22, 6:28:03 PM CST
- // Tcl Message: INFO: [Hsi 55-2053] elapsed time for repository (/tools/Xilinx/Vivado/2020.1/data/embeddedsw) loading 0 seconds
- // Tcl Message: INFO: [Vivado 12-4896] Successfully created Hardware Platform: /home/lq/dma_try/design_1_wrapper.xsa
- // Tcl Message: write_hw_platform: Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 7495.043 ; gain = 34.637 ; free physical = 80851 ; free virtual = 140575
- // [Engine Memory]: 1,754 MB (+69484kb) [00:20:53]
- dismissDialog("Export Hardware Platform"); // bz (cs)
- // [GUI Memory]: 152 MB (+2040kb) [00:22:09]
- // Elapsed time: 586 seconds
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, IP-XACT]", 6, true); // B (F, cs) - Node
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, IP-XACT]", 6, true, false, false, false, false, true); // B (F, cs) - Double Click - Node
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, read_add_one (read_add_one.v)]", 5, false); // B (F, cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, read_add_one (read_add_one.v)]", 5, false, false, false, false, false, true); // B (F, cs) - Double Click
- // Elapsed time: 34 seconds
- selectCodeEditor("read_add_one.v", 171, 148); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 171, 148, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 164, 148); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 164, 148, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 164, 148); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 189, 153); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 188, 151, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 181, 146); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 181, 146, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 54, 294); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- // Elapsed time: 346 seconds
- selectCodeEditor("read_add_one.v", 194, 346); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 194, 346, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 168, 331); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 168, 331, false, false, false, false, true); // bP (w, cs) - Double Click
- // HMemoryUtils.trashcanNow. Engine heap size: 1,766 MB. GUI used memory: 91 MB. Current time: 4/23/22, 6:58:03 PM CST
- // HMemoryUtils.trashcanNow. Engine heap size: 1,766 MB. GUI used memory: 87 MB. Current time: 4/23/22, 7:28:03 PM CST
- // Elapsed time: 2791 seconds
- setText(RDIResource.HCodeEditor_SEARCH_TEXT_COMBO_BOX, "reg_control_AXI_awready"); // l (au, cs)
- selectCodeEditor("read_add_one.v", 354, 130); // bP (w, cs)
- selectButton(RDIResourceCommand.RDICommands_UNDO, (String) null); // E (g, cs)
- selectButton(RDIResourceCommand.RDICommands_REDO, (String) null); // E (g, cs)
- selectButton(RDIResourceCommand.RDICommands_REDO, (String) null); // E (g, cs)
- selectButton(RDIResourceCommand.RDICommands_REDO, (String) null); // E (g, cs)
- selectButton(RDIResourceCommand.RDICommands_REDO, (String) null); // E (g, cs)
- selectButton(RDIResourceCommand.RDICommands_REDO, (String) null); // E (g, cs)
- selectButton(RDIResourceCommand.RDICommands_UNDO, (String) null); // E (g, cs)
- selectButton(RDIResourceCommand.RDICommands_UNDO, (String) null); // E (g, cs)
- selectButton(RDIResourceCommand.RDICommands_UNDO, (String) null); // E (g, cs)
- selectButton(RDIResourceCommand.RDICommands_UNDO, (String) null); // E (g, cs)
- selectButton(RDIResourceCommand.RDICommands_UNDO, (String) null); // E (g, cs)
- selectButton(RDIResourceCommand.RDICommands_UNDO, (String) null); // E (g, cs)
- selectButton(RDIResourceCommand.RDICommands_REDO, (String) null); // E (g, cs)
- selectButton(RDIResourceCommand.RDICommands_REDO, (String) null); // E (g, cs)
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // HMemoryUtils.trashcanNow. Engine heap size: 1,783 MB. GUI used memory: 87 MB. Current time: 4/23/22, 7:31:18 PM CST
- // Elapsed time: 46 seconds
- selectCodeEditor("read_add_one.v", 27, 123); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 32, 110); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 47, 361); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 166, 394); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 152, 382); // bP (w, cs)
- // Elapsed time: 11 seconds
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 95, 410); // bP (w, cs)
- // Elapsed time: 24 seconds
- selectCodeEditor("read_add_one.v", 39, 366); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 15, 226); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 15, 226, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 63, 356); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 63, 356, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 10, 383); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 10, 383, false, false, false, false, true); // bP (w, cs) - Double Click
- // Elapsed time: 11 seconds
- selectCodeEditor("read_add_one.v", 59, 367); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 11, 114); // bP (w, cs)
- // Elapsed time: 11 seconds
- selectCodeEditor("read_add_one.v", 4, 310); // bP (w, cs)
- // Elapsed time: 20 seconds
- selectCodeEditor("read_add_one.v", 209, 259); // bP (w, cs)
- typeControlKey(null, null, 'z');
- selectCodeEditor("read_add_one.v", 45, 263); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 62, 259); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 39, 263); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 28, 266); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 36, 158); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- // Elapsed time: 25 seconds
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- selectButton(RDIResourceCommand.RDICommands_UNDO, (String) null); // E (g, cs)
- selectButton(RDIResourceCommand.RDICommands_UNDO, (String) null); // E (g, cs)
- selectButton(RDIResourceCommand.RDICommands_UNDO, (String) null); // E (g, cs)
- selectButton(RDIResourceCommand.RDICommands_UNDO, (String) null); // E (g, cs)
- selectButton(RDIResourceCommand.RDICommands_UNDO, (String) null); // E (g, cs)
- selectButton(RDIResourceCommand.RDICommands_UNDO, (String) null); // E (g, cs)
- selectButton(RDIResourceCommand.RDICommands_UNDO, (String) null); // E (g, cs)
- selectButton(RDIResourceCommand.RDICommands_REDO, (String) null); // E (g, cs)
- selectButton(RDIResourceCommand.RDICommands_UNDO, (String) null); // E (g, cs)
- selectButton(RDIResourceCommand.RDICommands_REDO, (String) null); // E (g, cs)
- // Elapsed time: 159 seconds
- selectCodeEditor("read_add_one.v", 29, 307); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 158, 358); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 11, 366); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 8, 356); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 1, 368); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- // Elapsed time: 11 seconds
- selectCodeEditor("read_add_one.v", 310, 366); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 334, 335); // bP (w, cs)
- // Elapsed time: 34 seconds
- selectCodeEditor("read_add_one.v", 183, 192); // bP (w, cs)
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- selectCheckBox(PAResourceItoN.MsgView_WARNING_MESSAGES, (String) null, true); // g (aR, cs): TRUE
- selectCheckBox(PAResourceItoN.MsgView_WARNING_MESSAGES, (String) null, false); // g (aR, cs): FALSE
- selectCheckBox(PAResourceItoN.MsgView_WARNING_MESSAGES, (String) null, true); // g (aR, cs): TRUE
- selectCheckBox(PAResourceItoN.MsgView_WARNING_MESSAGES, (String) null, false); // g (aR, cs): FALSE
- selectCheckBox(PAResourceItoN.MsgView_CRITICAL_WARNINGS, (String) null, true); // g (aR, cs): TRUE
- // Elapsed time: 11 seconds
- selectCodeEditor("read_add_one.v", 62, 277); // bP (w, cs)
- // Elapsed time: 14 seconds
- selectCodeEditor("read_add_one.v", 156, 252); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 156, 252, false, false, false, false, true); // bP (w, cs) - Double Click
- // Elapsed time: 12 seconds
- selectCodeEditor("read_add_one.v", 89, 288); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 211, 204); // bP (w, cs)
- // Elapsed time: 16 seconds
- selectCodeEditor("read_add_one.v", 131, 259); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 182, 276); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 514, 341); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 179, 293); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 170, 232); // bP (w, cs)
- // Elapsed time: 36 seconds
- selectCodeEditor("read_add_one.v", 166, 281); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 166, 281, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 252, 271); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 252, 271, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 168, 377); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 121, 339); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 121, 339, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- typeControlKey(null, null, 'z');
- selectCodeEditor("read_add_one.v", 116, 340); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 116, 340, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 252, 226); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 250, 225, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 254, 218); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 254, 218, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 118, 248); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 118, 248, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 243, 214); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 243, 214, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey(null, null, 'z');
- selectCodeEditor("read_add_one.v", 272, 214); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 272, 214, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 113, 249); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 113, 249, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- // Elapsed time: 20 seconds
- typeControlKey(null, null, 'z');
- selectCodeEditor("read_add_one.v", 91, 205); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 91, 205, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- // Elapsed time: 10 seconds
- selectCodeEditor("read_add_one.v", 104, 167); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 147, 200); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 98, 216); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 139, 231); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 106, 248); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 140, 276); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 105, 295); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 98, 308); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- selectCodeEditor("read_add_one.v", 255, 306); // bP (w, cs)
- // HMemoryUtils.trashcanNow. Engine heap size: 1,819 MB. GUI used memory: 87 MB. Current time: 4/23/22, 7:42:03 PM CST
- // Elapsed time: 12 seconds
- selectCodeEditor("read_add_one.v", 174, 223); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 172, 217); // bP (w, cs)
- // Elapsed time: 25 seconds
- selectCodeEditor("read_add_one.v", 107, 308); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 106, 218); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 99, 227); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 97, 220); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 97, 274); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 99, 338); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 99, 365); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 97, 336); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- typeControlKey(null, null, 'z');
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 98, 382); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 99, 291); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // Elapsed time: 78 seconds
- selectCodeEditor("read_add_one.v", 86, 375); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 43, 186); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 44, 186, false, false, false, false, true); // bP (w, cs) - Double Click
- // Elapsed time: 20 seconds
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- selectCodeEditor("read_add_one.v", 231, 199); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 91, 217); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 93, 215); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 93, 214, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 93, 214); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 94, 219); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 94, 219, false, false, false, false, true); // bP (w, cs) - Double Click
- // Elapsed time: 476 seconds
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files]", 1, true); // B (F, cs) - Node
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files]", 1, true, false, false, false, false, true); // B (F, cs) - Double Click - Node
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, read_add_one.v]", 2, false); // B (F, cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, read_add_one.v]", 2, false, false, false, false, false, true); // B (F, cs) - Double Click
- // Elapsed time: 45 seconds
- selectCodeEditor("read_add_one.v", 604, 359); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 52, 191); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 52, 191); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 52, 191, false, false, false, false, true); // bP (w, cs) - Double Click
- // Elapsed time: 88 seconds
- selectCodeEditor("read_add_one.v", 188, 309); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 93, 305); // bP (w, cs)
- // Elapsed time: 139 seconds
- selectCodeEditor("read_add_one.v", 66, 368); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 43, 397); // bP (w, cs)
- // Elapsed time: 12 seconds
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 389, 343); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 167, 219); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 167, 219, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 210, 277); // bP (w, cs)
- typeControlKey(null, null, 'z');
- selectCodeEditor("read_add_one.v", 206, 278); // bP (w, cs)
- // Elapsed time: 10 seconds
- selectCodeEditor("read_add_one.v", 263, 262); // bP (w, cs)
- // Elapsed time: 15 seconds
- selectCodeEditor("read_add_one.v", 156, 167); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 156, 167, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 165, 155); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 165, 155, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 268, 161); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 268, 161, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 242, 167); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 238, 161); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 238, 161, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 89, 183); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 89, 183, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 288, 267); // bP (w, cs)
- // Elapsed time: 70 seconds
- selectCodeEditor("read_add_one.v", 149, 264); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 188, 262); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 61, 277); // bP (w, cs)
- // Elapsed time: 10 seconds
- selectCodeEditor("read_add_one.v", 40, 320); // bP (w, cs)
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // HMemoryUtils.trashcanNow. Engine heap size: 1,852 MB. GUI used memory: 87 MB. Current time: 4/23/22, 8:00:43 PM CST
- // [Engine Memory]: 1,852 MB (+11182kb) [01:53:25]
- // Elapsed time: 25 seconds
- selectCodeEditor("read_add_one.v", 106, 178); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 106, 178, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 102, 161); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 105, 159); // bP (w, cs)
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // Elapsed time: 359 seconds
- selectCodeEditor("read_add_one.v", 233, 342); // bP (w, cs)
- // Elapsed time: 16 seconds
- selectButton(PAResourceOtoP.PlanAheadTab_REFRESH_IP_CATALOG, "Refresh IP Catalog"); // h (ds, cs)
- // TclEventType: CREATE_IP_CATALOG
- // TclEventType: IP_LOCK_CHANGE
- // TclEventType: BDCELL_LOCK_CHANGE
- // TclEventType: CREATE_IP_CATALOG
- // Tcl Message: update_ip_catalog -rebuild -scan_changes
- // Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories
- // Tcl Message: INFO: [IP_Flow 19-1700] Loaded user IP repository '/home/lq/dma_try'.
- // TclEventType: IP_SUMMARY_RESULTS
- // bz (cs): Refresh IP Catalog : addNotify
- // TclEventType: IP_SUMMARY_RESULTS
- // Tcl Message: report_ip_status -name ip_status
- dismissDialog("Refresh IP Catalog"); // bz (cs)
- selectButton(PAResourceItoN.IPStatusSectionPanel_UPGRADE_SELECTED, "Upgrade Selected"); // a (g, cs)
- // Run Command: PAResourceCommand.PACommandNames_UPGRADE_IP
- // TclEventType: RSB_OPEN_DIAGRAM
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: DG_GRAPH_STALE
- // Tcl Message: upgrade_ip -srcset design_1 -vlnv user.org:user:read_add_one:1.0 [get_ips design_1_read_add_one_0_0] -log ip_upgrade.log
- // Tcl Message: Upgrading '/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd'
- // TclEventType: DG_GRAPH_STALE
- // bz (cs): Upgrade IP : addNotify
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILESET_UPDATE_IP
- // TclEventType: IP_UPGRADE_COMPLETE
- // Tcl Message: INFO: [IP_Flow 19-1972] Upgraded design_1_read_add_one_0_0 from read_add_one_v1_0 1.0 to read_add_one_v1_0 1.0
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd>
- // Tcl Message: INFO: [Coretcl 2-1525] Wrote upgrade log to '/home/lq/dma_try/ip_upgrade.log'.
- // Tcl Message: export_ip_user_files -of_objects [get_ips design_1_read_add_one_0_0] -no_script -sync -force -quiet
- // aI (cs): Generate Output Products: addNotify
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- selectButton(PAResourceQtoS.SimpleOutputProductDialog_GENERATE_OUTPUT_PRODUCTS_IMMEDIATELY, "Generate"); // a (aI)
- // bz (cs): Managing Output Products : addNotify
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: generate_target all [get_files /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd]
- // TclEventType: FILE_SET_CHANGE
- // HMemoryUtils.trashcanNow. Engine heap size: 1,894 MB. GUI used memory: 90 MB. Current time: 4/23/22, 8:07:38 PM CST
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_CLOSE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // Tcl Message: ERROR: [BD 41-237] Bus Interface property FREQ_HZ does not match between /read_add_one_0/axis_in(100000000) and /axi_dma_0/M_AXIS_MM2S(200000000)
- // Tcl Message: ERROR: [BD 41-237] Bus Interface property FREQ_HZ does not match between /axi_dma_0/S_AXIS_S2MM(200000000) and /read_add_one_0/axis_out(100000000) ERROR: [BD 41-237] Bus Interface property FREQ_HZ does not match between /read_add_one_0/control_AXI(100000000) and /ps8_0_axi_periph/xbar/M01_AXI(200000000) ERROR: [BD 41-238] Port/Pin property FREQ_HZ does not match between /read_add_one_0/clk(100000000) and /zynq_ultra_ps_e_0/pl_clk0(200000000) ERROR: [BD 41-1031] Hdl Generation failed for the IP Integrator design /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd
- // Tcl Message: generate_target: Time (s): cpu = 00:00:15 ; elapsed = 00:00:11 . Memory (MB): peak = 7782.184 ; gain = 0.000 ; free physical = 80855 ; free virtual = 140548
- // Tcl Message: ERROR: [Common 17-39] 'generate_target' failed due to earlier errors.
- // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'generate_target' failed due to earlier errors.
- // a (cs): Critical Messages: addNotify
- // Elapsed time: 11 seconds
- dismissDialog("Managing Output Products"); // bz (cs)
- selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK"); // f (a)
- dismissDialog("Critical Messages"); // a (cs)
- // Run Command: RDIResourceCommand.RDICommands_DELETE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_SCRIPT_TASK
- // Tcl Message: delete_bd_objs [get_bd_intf_nets axi_dma_0_M_AXIS_MM2S] [get_bd_intf_nets ps8_0_axi_periph_M01_AXI] [get_bd_intf_nets read_add_one_0_axis_out] [get_bd_cells read_add_one_0]
- selectButton(PAResourceQtoS.SystemBuilderView_ADD_IP, "System_RSB_ADD_IP"); // E (g, cs)
- // HMemoryUtils.trashcanNow. Engine heap size: 1,920 MB. GUI used memory: 91 MB. Current time: 4/23/22, 8:07:58 PM CST
- // Elapsed time: 13 seconds
- setText("PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE_SEARCH_FIELD", "read"); // OverlayTextField (az, ResizableWindow)
- selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 0, "read_add_one_v1_0", 0, false); // L (J, ResizableWindow)
- expandTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 0); // L (J, ResizableWindow)
- selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 0, "read_add_one_v1_0", 0, false, false, false, false, false, true); // L (J, ResizableWindow) - Double Click
- // TclEventType: REPORT_IP_STATUS_STALE
- // bz (cs): Add IP : addNotify
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_ADD_OBJECT
- // Tcl Message: startgroup
- // Tcl Message: create_bd_cell -type ip -vlnv user.org:user:read_add_one:1.0 read_add_one_0
- // Tcl Message: endgroup
- dismissDialog("Add IP"); // bz (cs)
- // Tcl Command: 'set_property location {2 466 102} [get_bd_cells read_add_one_0]'
- // TclEventType: RSB_CANVAS_LOCATION
- // Tcl Message: set_property location {2 466 102} [get_bd_cells read_add_one_0]
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_in] [get_bd_intf_pins axi_dma_0/M_AXIS_MM2S]
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_out] [get_bd_intf_pins axi_dma_0/S_AXIS_S2MM]
- // Elapsed time: 18 seconds
- selectButton(PAResourceQtoS.RSBApplyAutomationBar_RUN_CONNECTION_AUTOMATION, "Run Connection Automation"); // h (dq, cs)
- // ai (cs): Run Connection Automation: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (ai)
- dismissDialog("Run Connection Automation"); // ai (cs)
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // bz (cs): Run Connection Automation : addNotify
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config { Clk_master {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Clk_slave {Auto} Clk_xbar {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Master {/zynq_ultra_ps_e_0/M_AXI_HPM0_FPD} Slave {/read_add_one_0/control_AXI} ddr_seg {Auto} intc_ip {/ps8_0_axi_periph} master_apm {0}} [get_bd_intf_pins read_add_one_0/control_AXI]
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: XGUI_RESET_GUI_ELEMENT
- // Tcl Message: Slave segment '/read_add_one_0/control_AXI/reg0' is being assigned into address space '/zynq_ultra_ps_e_0/Data' at <0xA001_0000 [ 64K ]>.
- dismissDialog("Run Connection Automation"); // bz (cs)
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 21, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
- // am (cs): Save Project: addNotify
- selectButton(PAResourceQtoS.SaveProjectUtils_SAVE, "Save"); // a (am)
- // bz (cs): Save Constraints : addNotify
- // TclEventType: RSB_SAVE_DIAGRAM
- dismissDialog("Save Project"); // am (cs)
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // Tcl Message: save_bd_design
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd> Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui>
- // A (cs): Synthesis is Out-of-date: addNotify
- dismissDialog("Save Constraints"); // bz (cs)
- // [Engine Memory]: 2,033 MB (+92540kb) [02:01:21]
- selectButton(RDIResource.BaseDialog_YES, "Yes"); // a (A)
- // HMemoryUtils.trashcanNow. Engine heap size: 1,953 MB. GUI used memory: 91 MB. Current time: 4/23/22, 8:08:43 PM CST
- selectButton(RDIResource.BaseDialog_NO, "No"); // a (A)
- // f (cs): Launch Runs: addNotify
- dismissDialog("Synthesis is Out-of-date"); // A (cs)
- // 'cD' command handler elapsed time: 9 seconds
- dismissDialog("Launch Runs"); // f (cs)
- selectTab(PAResourceEtoH.FileSetView_TABBED_PANE, (HResource) null, "IP Sources", 1); // i (N, cs)
- selectTab(PAResourceEtoH.FileSetView_TABBED_PANE, (HResource) null, "Hierarchy", 0); // i (N, cs)
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 21, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
- // A (cs): Synthesis is Out-of-date: addNotify
- dismissDialog("Synthesis is Out-of-date"); // A (cs)
- // Run Command: RDIResourceCommand.RDICommands_DELETE
- // TclEventType: RSB_REMOVE_OBJECT
- // Tcl Message: delete_bd_objs [get_bd_nets zynq_ultra_ps_e_0_pl_clk0]
- selectTab(RDIResource.PropertiesView_TABBED_PANE, (HResource) null, "Properties", 1); // i (c, cs)
- expandTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "CONFIG ; ", 1); // l (C, cs)
- selectTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "FREQ_HZ ; 100000000", 5, "100000000", 1, false); // l (C, cs)
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- selectButton(PAResourceQtoS.RSBApplyAutomationBar_RUN_CONNECTION_AUTOMATION, "Run Connection Automation"); // h (dq, cs)
- // Tcl Message: set_property CONFIG.FREQ_HZ 200000000 [get_bd_pins /read_add_one_0/clk]
- // ai (cs): Run Connection Automation: addNotify
- selectCheckBoxTree(PAResourceAtoD.ApplyRSBMultiAutomationDialog_CHECKBOX_TREE, "[All Automation (13 out of 13 selected)]", 0, true, true, ui.utils.collection.couples.TriState.True); // aw (J, ai) - Node
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (ai)
- dismissDialog("Run Connection Automation"); // ai (cs)
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_CONNECTION_CHANGE
- // bz (cs): Run Connection Automation : addNotify
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: XGUI_RESET_GUI_ELEMENT
- // Tcl Message: startgroup
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:clkrst -config { Clk {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Freq {100} Ref_Clk0 {} Ref_Clk1 {} Ref_Clk2 {}} [get_bd_pins axi_dma_0/m_axi_mm2s_aclk]
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:clkrst -config { Clk {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Freq {100} Ref_Clk0 {} Ref_Clk1 {} Ref_Clk2 {}} [get_bd_pins axi_dma_0/m_axi_s2mm_aclk]
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:clkrst -config { Clk {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Freq {100} Ref_Clk0 {} Ref_Clk1 {} Ref_Clk2 {}} [get_bd_pins axi_dma_0/s_axi_lite_aclk]
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:clkrst -config { Clk {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Freq {100} Ref_Clk0 {} Ref_Clk1 {} Ref_Clk2 {}} [get_bd_pins ps8_0_axi_periph/ACLK]
- // Tcl Message: INFO: [BD 5-455] Automation on '/ps8_0_axi_periph/M00_ACLK' will not be run, since it is obsolete due to previously run automations
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:clkrst -config { Clk {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Freq {100} Ref_Clk0 {} Ref_Clk1 {} Ref_Clk2 {}} [get_bd_pins ps8_0_axi_periph/M01_ACLK]
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:clkrst -config { Clk {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Freq {100} Ref_Clk0 {} Ref_Clk1 {} Ref_Clk2 {}} [get_bd_pins ps8_0_axi_periph/S00_ACLK]
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:clkrst -config { Clk {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Freq {100} Ref_Clk0 {} Ref_Clk1 {} Ref_Clk2 {}} [get_bd_pins ps8_0_axi_periph/S01_ACLK]
- // Tcl Message: INFO: [BD 5-455] Automation on '/read_add_one_0/clk' will not be run, since it is obsolete due to previously run automations
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:clkrst -config { Clk {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Freq {100} Ref_Clk0 {} Ref_Clk1 {} Ref_Clk2 {}} [get_bd_pins rst_ps8_0_200M/slowest_sync_clk]
- // Tcl Message: INFO: [BD 5-455] Automation on '/zynq_ultra_ps_e_0/maxihpm0_fpd_aclk' will not be run, since it is obsolete due to previously run automations INFO: [BD 5-455] Automation on '/zynq_ultra_ps_e_0/maxihpm1_fpd_aclk' will not be run, since it is obsolete due to previously run automations
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:clkrst -config { Clk {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Freq {100} Ref_Clk0 {} Ref_Clk1 {} Ref_Clk2 {}} [get_bd_pins zynq_ultra_ps_e_0/saxihp0_fpd_aclk]
- // Tcl Message: endgroup
- dismissDialog("Run Connection Automation"); // bz (cs)
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 21, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
- // am (cs): Save Project: addNotify
- selectButton(PAResourceQtoS.SaveProjectUtils_SAVE, "Save"); // a (am)
- // bz (cs): Save Constraints : addNotify
- // TclEventType: RSB_SAVE_DIAGRAM
- dismissDialog("Save Project"); // am (cs)
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // Tcl Message: save_bd_design
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd> Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui>
- // A (cs): Synthesis is Out-of-date: addNotify
- dismissDialog("Save Constraints"); // bz (cs)
- selectCheckBox(RDIResource.MessageWithOptionDialog_DONT_SHOW_THIS_DIALOG_AGAIN, "Don't show this dialog again", false); // g (Q, A): FALSE
- selectButton(RDIResource.BaseDialog_YES, "Yes"); // a (A)
- // bz (cs): Resetting Runs : addNotify
- // TclEventType: RUN_MODIFY
- dismissDialog("Synthesis is Out-of-date"); // A (cs)
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // Tcl Message: reset_run synth_1
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // Tcl Message: reset_run design_1_synth_1
- // f (cs): Launch Runs: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (f)
- // 'cD' command handler elapsed time: 5 seconds
- // TclEventType: RSB_SCRIPT_TASK
- dismissDialog("Launch Runs"); // f (cs)
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // bz (cs): Generate Bitstream : addNotify
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: launch_runs impl_1 -to_step write_bitstream -jobs 18
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_CLOSE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // HMemoryUtils.trashcanNow. Engine heap size: 2,006 MB. GUI used memory: 92 MB. Current time: 4/23/22, 8:09:33 PM CST
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd> VHDL Output written to : /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/synth/design_1.v VHDL Output written to : /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/sim/design_1.v VHDL Output written to : /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/hdl/design_1_wrapper.v
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: Exporting to file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ip/design_1_axi_smc_0/bd_0/hw_handoff/design_1_axi_smc_0.hwh Generated Block Design Tcl file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ip/design_1_axi_smc_0/bd_0/hw_handoff/design_1_axi_smc_0_bd.tcl Generated Hardware Definition File /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ip/design_1_axi_smc_0/bd_0/synth/design_1_axi_smc_0.hwdef
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block axi_smc . INFO: [BD 41-1029] Generation completed for the IP Integrator block read_add_one_0 .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s00_couplers/auto_ds .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s00_couplers/auto_pc .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s01_couplers/auto_ds .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s01_couplers/auto_pc .
- // Tcl Message: Exporting to file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/hw_handoff/design_1.hwh Generated Block Design Tcl file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/hw_handoff/design_1_bd.tcl Generated Hardware Definition File /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/synth/design_1.hwdef
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RUN_LAUNCH
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RUN_LAUNCH
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_MODIFY
- // Tcl Message: [Sat Apr 23 20:09:41 2022] Launched design_1_synth_1, synth_1... Run output will be captured here: design_1_synth_1: /home/lq/dma_try/dma_try.runs/design_1_synth_1/runme.log synth_1: /home/lq/dma_try/dma_try.runs/synth_1/runme.log [Sat Apr 23 20:09:41 2022] Launched impl_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/impl_1/runme.log
- // Tcl Message: launch_runs: Time (s): cpu = 00:00:14 ; elapsed = 00:00:12 . Memory (MB): peak = 7872.227 ; gain = 0.000 ; free physical = 80844 ; free virtual = 140489
- // Elapsed time: 12 seconds
- dismissDialog("Generate Bitstream"); // bz (cs)
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_FAILED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // Elapsed time: 214 seconds
- selectButton(RDIResource.BaseReportTab_RERUN, "Rerun"); // h (ds, cs)
- // Tcl Command: 'report_ip_status -name ip_status '
- // TclEventType: IP_SUMMARY_RESULTS
- // Tcl Message: report_ip_status -name ip_status
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 15, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
- // bz (cs): Resetting Runs : addNotify
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // Tcl Message: reset_run design_1_synth_1
- // bz (cs): Resetting Runs : addNotify
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // Tcl Message: reset_run synth_1
- // f (cs): Launch Runs: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (f)
- // bz (cs): Starting Design Runs : addNotify
- dismissDialog("Launch Runs"); // f (cs)
- // Tcl Message: launch_runs synth_1 -jobs 18
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RUN_LAUNCH
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_MODIFY
- // Tcl Message: [Sat Apr 23 20:13:24 2022] Launched design_1_synth_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/design_1_synth_1/runme.log [Sat Apr 23 20:13:24 2022] Launched synth_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/synth_1/runme.log
- // 'k' command handler elapsed time: 4 seconds
- dismissDialog("Starting Design Runs"); // bz (cs)
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_FAILED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // Elapsed time: 255 seconds
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation, Run Implementation]", 18, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_RUN_IMPLEMENTATION
- // bz (cs): Resetting Runs : addNotify
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // Tcl Message: reset_run design_1_synth_1
- // f (cs): Launch Runs: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (f)
- // bz (cs): Starting Design Runs : addNotify
- dismissDialog("Launch Runs"); // f (cs)
- // Tcl Message: launch_runs impl_1 -jobs 18
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RUN_LAUNCH
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_MODIFY
- // Tcl Message: [Sat Apr 23 20:17:47 2022] Launched design_1_synth_1, synth_1... Run output will be captured here: design_1_synth_1: /home/lq/dma_try/dma_try.runs/design_1_synth_1/runme.log synth_1: /home/lq/dma_try/dma_try.runs/synth_1/runme.log [Sat Apr 23 20:17:47 2022] Launched impl_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/impl_1/runme.log
- // 'c' command handler elapsed time: 7 seconds
- dismissDialog("Starting Design Runs"); // bz (cs)
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_FAILED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // Elapsed time: 244 seconds
- selectTab((HResource) null, (HResource) null, "Messages", 1); // aL (aI, cs)
- // Run Command: PAResourceCommand.PACommandNames_CUSTOMIZE_RSB_PIN
- // r (cs): Customize Pin: addNotify
- dismissDialog("Customize Pin"); // r (cs)
- selectButton(PAResourceItoN.MsgView_CLEAR_MESSAGES_RESULTING_FROM_USER_EXECUTED, "Messages_cleanUpMessages"); // E (g, cs)
- selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, Out-of-Context Module Runs, design_1_synth_1, [Synth 8-448] named port connection 'control_AXI_araddr' does not exist for instance 'inst' of module 'read_add_one' [/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ip/design_1_read_add_one_0_1/synth/design_1_read_add_one_0_1.v:164]. ]", 3, true); // ah (J, cs) - Node
- messagesViewCrossProbe(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "src;-;/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ip/design_1_read_add_one_0_1/synth/design_1_read_add_one_0_1.v;-;;-;16;-;line;-;164;-;;-;16;-;"); // ah (J, cs)
- // Elapsed time: 11 seconds
- closeView(PAResourceOtoP.PAViews_CODE, "Code"); // F
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Address Editor", 1); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "System", 0); // m (l, cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, IP-XACT, component.xml]", 7, false); // B (F, cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, IP-XACT, component.xml]", 7, false, false, false, false, false, true); // B (F, cs) - Double Click
- // bz (cs): Open IP-XACT File : addNotify
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_CURRENT_CORE
- // Run Command: PAResourceCommand.PACommandNames_IP_PACKAGER
- // TclEventType: PACKAGER_OBJECT_CHANGE
- // Tcl Message: ipx::open_ipxact_file /home/lq/dma_try/dma_try.srcs/sources_1/component.xml
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: PACKAGER_OBJECT_CHANGE
- dismissDialog("Open IP-XACT File"); // bz (cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Customization Parameters", 3); // ar (J, cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "File Groups", 2); // ar (J, cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Compatibility", 1); // ar (J, cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Review and Package", 7); // ar (J, cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Addressing and Memory", 5); // ar (J, cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Customization Parameters", 3); // ar (J, cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "File Groups", 2); // ar (J, cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Ports and Interfaces", 4); // ar (J, cs)
- expandTreeTable(PAResourceOtoP.PortAndInterfaceFacetTable_PORT_AND_INTERFACE_FACET_TABLE, "Clock and Reset Signals ; ; ; ; ; ; ; ; ; ", 3); // M (J, cs)
- expandTreeTable(PAResourceOtoP.PortAndInterfaceFacetTable_PORT_AND_INTERFACE_FACET_TABLE, "control_AXI ; slave ; ; ; ; ; ; ; ; ", 2); // M (J, cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "File Groups", 2); // ar (J, cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Compatibility", 1); // ar (J, cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Identification", 0); // ar (J, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_REPORTS, "Reports"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_REPORTS, "Reports"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_FLOW, "Flow"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_FLOW, "Flow"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_REPORTS, "Reports"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_REPORTS, "Reports"); // aa (q, cs)
- // TclEventType: PACKAGER_UNLOAD_CORE
- closeView(PAResourceOtoP.PAViews_PACKAGE_IP, "Package IP - read_add_one"); // ac
- closeView(PAResourceOtoP.PAViews_PACKAGE_IP, "Package IP - read_add_one"); // ac
- // Tcl Message: ipx::unload_core /home/lq/dma_try/dma_try.srcs/sources_1/component.xml
- // Elapsed time: 16 seconds
- selectMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_REPORTS, "Reports"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_REPORTS, "Reports"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- selectMenuItem(PAResourceCommand.PACommandNames_IP_PACKAGER_WIZARD, "Create and Package New IP..."); // ai (cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- // Run Command: PAResourceCommand.PACommandNames_IP_PACKAGER_WIZARD
- // Q (cs): Create and Package New IP: addNotify
- selectButton("NEXT", "Next >"); // JButton (j, Q)
- // 'q' command handler elapsed time: 4 seconds
- dismissDialog("Create and Package New IP"); // Q (cs)
- // [GUI Memory]: 165 MB (+5875kb) [02:16:01]
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, IP-XACT]", 6, true); // B (F, cs) - Node
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, read_add_one (read_add_one.v)]", 5, false); // B (F, cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, read_add_one (read_add_one.v)]", 5, false, false, false, false, true, false); // B (F, cs) - Popup Trigger
- selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // af (ao, cs)
- selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // af (ao, cs)
- selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ai (ao, cs)
- // Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: FILE_SET_OPTIONS_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // Tcl Message: set_property top read_add_one [current_fileset]
- // TclEventType: DG_GRAPH_STALE
- // HMemoryUtils.trashcanNow. Engine heap size: 2,047 MB. GUI used memory: 101 MB. Current time: 4/23/22, 8:23:24 PM CST
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: update_compile_order -fileset sources_1
- selectMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_REPORTS, "Reports"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_REPORTS, "Reports"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_WINDOW, "Window"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_WINDOW, "Window"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_REPORTS, "Reports"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_REPORTS, "Reports"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- selectMenuItem(PAResourceCommand.PACommandNames_IP_PACKAGER_WIZARD, "Create and Package New IP..."); // ai (cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- // Run Command: PAResourceCommand.PACommandNames_IP_PACKAGER_WIZARD
- // Q (cs): Create and Package New IP: addNotify
- selectButton("NEXT", "Next >"); // JButton (j, Q)
- selectRadioButton(PAResourceItoN.NewIpWizard_PACKAGE_YOUR_CURRENT_PROJECT_USE, "Package your current project. Use the project as the source for creating a new IP Definition."); // b (a, Q)
- // bz (Q): Analyze source files for top modules : addNotify
- selectButton("NEXT", "Next >"); // JButton (j, Q)
- dismissDialog("Analyze source files for top modules"); // bz (Q)
- selectButton("NEXT", "Next >"); // JButton (j, Q)
- selectButton("OptionPane.button", "Overwrite"); // JButton (v, B)
- selectButton("FINISH", "Finish"); // JButton (j, Q)
- // 'q' command handler elapsed time: 10 seconds
- // TclEventType: DG_GRAPH_STALE
- dismissDialog("Create and Package New IP"); // Q (cs)
- // TclEventType: FILE_SET_CHANGE
- // bz (cs): IP Packager : addNotify
- // Tcl Message: ipx::package_project -root_dir /home/lq/dma_try/dma_try.srcs/sources_1 -vendor user.org -library user -taxonomy /UserIP -force
- // TclEventType: PACKAGER_OBJECT_ADD
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PACKAGER_CURRENT_CORE
- // Run Command: PAResourceCommand.PACommandNames_IP_PACKAGER
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- dismissDialog("IP Packager"); // bz (cs)
- // HMemoryUtils.trashcanNow. Engine heap size: 2,094 MB. GUI used memory: 106 MB. Current time: 4/23/22, 8:23:44 PM CST
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Customization Parameters", 3); // ar (J, cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Ports and Interfaces", 4); // ar (J, cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Review and Package", 7); // ar (J, cs)
- selectButton(PAResourceOtoP.PlanAheadTab_REFRESH_IP_CATALOG, "Refresh IP Catalog"); // h (ds, cs)
- // TclEventType: CREATE_IP_CATALOG
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: IP_LOCK_CHANGE
- // Tcl Message: update_ip_catalog -rebuild -scan_changes
- // TclEventType: IP_LOCK_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: BDCELL_LOCK_CHANGE
- // TclEventType: RSB_LOCK_CHANGE
- // TclEventType: IP_LOCK_CHANGE
- // TclEventType: BDCELL_LOCK_CHANGE
- // bz (cs): Refresh IP Catalog : addNotify
- // TclEventType: BDCELL_LOCK_CHANGE
- // TclEventType: CREATE_IP_CATALOG
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories
- // Tcl Message: INFO: [IP_Flow 19-1700] Loaded user IP repository '/home/lq/dma_try'.
- // TclEventType: IP_SUMMARY_RESULTS
- // Tcl Message: report_ip_status -name ip_status
- dismissDialog("Refresh IP Catalog"); // bz (cs)
- selectButton(PAResourceItoN.IPStatusSectionPanel_UPGRADE_SELECTED, "Upgrade Selected"); // a (g, cs)
- // Run Command: PAResourceCommand.PACommandNames_UPGRADE_IP
- // TclEventType: RSB_OPEN_DIAGRAM
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: DG_GRAPH_STALE
- // Tcl Message: upgrade_ip -srcset design_1 -vlnv user.org:user:read_add_one:1.0 [get_ips design_1_read_add_one_0_1] -log ip_upgrade.log
- // Tcl Message: Upgrading '/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd'
- // TclEventType: DG_GRAPH_STALE
- // bz (cs): Upgrade IP : addNotify
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILESET_UPDATE_IP
- // TclEventType: IP_UPGRADE_COMPLETE
- // Tcl Message: INFO: [IP_Flow 19-3422] Upgraded design_1_read_add_one_0_1 (read_add_one_v1_0 1.0) from revision 3 to revision 1
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd> Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui>
- // Tcl Message: INFO: [Coretcl 2-1525] Wrote upgrade log to '/home/lq/dma_try/ip_upgrade.log'.
- // Tcl Message: export_ip_user_files -of_objects [get_ips design_1_read_add_one_0_1] -no_script -sync -force -quiet
- // a (cs): Critical Messages: addNotify
- // aI (cs): Generate Output Products: addNotify
- // Elapsed time: 10 seconds
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- selectButton(PAResourceQtoS.SimpleOutputProductDialog_GENERATE_OUTPUT_PRODUCTS_IMMEDIATELY, "Generate"); // a (aI)
- // [Engine Memory]: 2,156 MB (+22898kb) [02:16:48]
- // bz (cs): Managing Output Products : addNotify
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: generate_target all [get_files /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd]
- // TclEventType: FILE_SET_CHANGE
- // HMemoryUtils.trashcanNow. Engine heap size: 2,157 MB. GUI used memory: 108 MB. Current time: 4/23/22, 8:24:09 PM CST
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_CLOSE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // Tcl Message: ERROR: [BD 41-237] Bus Interface property FREQ_HZ does not match between /read_add_one_0/axis_in(100000000) and /axi_dma_0/M_AXIS_MM2S(200000000)
- // Tcl Message: ERROR: [BD 41-237] Bus Interface property FREQ_HZ does not match between /axi_dma_0/S_AXIS_S2MM(200000000) and /read_add_one_0/axis_out(100000000) ERROR: [BD 41-238] Port/Pin property FREQ_HZ does not match between /read_add_one_0/clk(100000000) and /zynq_ultra_ps_e_0/pl_clk0(200000000) ERROR: [BD 41-1031] Hdl Generation failed for the IP Integrator design /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd
- // Tcl Message: generate_target: Time (s): cpu = 00:00:15 ; elapsed = 00:00:11 . Memory (MB): peak = 7972.273 ; gain = 0.000 ; free physical = 80770 ; free virtual = 140405
- // Tcl Message: ERROR: [Common 17-39] 'generate_target' failed due to earlier errors.
- // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'generate_target' failed due to earlier errors.
- // a (cs): Critical Messages: addNotify
- // Elapsed time: 11 seconds
- dismissDialog("Managing Output Products"); // bz (cs)
- // Elapsed time: 115 seconds
- selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK"); // f (a)
- dismissDialog("Critical Messages"); // a (cs)
- dismissDialog("Critical Messages"); // a (cs)
- // Run Command: PAResourceCommand.PACommandNames_CUSTOMIZE_RSB_BLOC
- // r (cs): Re-customize IP: addNotify
- dismissDialog("Re-customize IP"); // r (cs)
- // Elapsed time: 11 seconds
- expandTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "CONFIG ; ", 1); // l (C, cs)
- selectTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "CONFIG ; ", 1, "CONFIG", 0, true); // l (C, cs) - Node
- // Run Command: RDIResourceCommand.RDICommands_DELETE
- // TclEventType: RSB_REMOVE_OBJECT
- // Tcl Message: delete_bd_objs [get_bd_nets zynq_ultra_ps_e_0_pl_clk0]
- expandTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "CONFIG ; ", 1); // l (C, cs)
- expandTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "CONFIG ; ", 1); // l (C, cs)
- // Run Command: RDIResourceCommand.RDICommands_DELETE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_SCRIPT_TASK
- // Tcl Message: delete_bd_objs [get_bd_intf_nets axi_dma_0_M_AXIS_MM2S] [get_bd_intf_nets read_add_one_0_axis_out] [get_bd_cells read_add_one_0]
- // Elapsed time: 11 seconds
- selectButton(PAResourceQtoS.SystemBuilderView_ADD_IP, "System_RSB_ADD_IP"); // E (g, cs)
- setText("PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE_SEARCH_FIELD", "read_"); // OverlayTextField (az, ResizableWindow)
- applyEnter(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, (String) null); // L (J, ResizableWindow)
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_ADD_OBJECT
- // Tcl Message: startgroup
- // Tcl Message: create_bd_cell -type ip -vlnv user.org:user:read_add_one:1.0 read_add_one_0
- // Tcl Message: endgroup
- // HMemoryUtils.trashcanNow. Engine heap size: 2,222 MB. GUI used memory: 107 MB. Current time: 4/23/22, 8:26:59 PM CST
- selectButton(PAResourceQtoS.RSBApplyAutomationBar_RUN_CONNECTION_AUTOMATION, "Run Connection Automation"); // h (dq, cs)
- // ai (cs): Run Connection Automation: addNotify
- selectCheckBoxTree(PAResourceAtoD.ApplyRSBMultiAutomationDialog_CHECKBOX_TREE, "[All Automation (0 out of 11 selected)]", 0, true, false, ui.utils.collection.couples.TriState.False); // aw (J, ai) - Node
- selectCheckBoxTree(PAResourceAtoD.ApplyRSBMultiAutomationDialog_CHECKBOX_TREE, "[All Automation (0 out of 11 selected)]", 0, true, false, ui.utils.collection.couples.TriState.False); // aw (J, ai) - Node
- selectCheckBoxTree(PAResourceAtoD.ApplyRSBMultiAutomationDialog_CHECKBOX_TREE, "[All Automation (11 out of 11 selected)]", 0, true, true, ui.utils.collection.couples.TriState.True); // aw (J, ai) - Node
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (ai)
- dismissDialog("Run Connection Automation"); // ai (cs)
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_CONNECTION_CHANGE
- // bz (cs): Run Connection Automation : addNotify
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: XGUI_RESET_GUI_ELEMENT
- // Tcl Message: startgroup
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:clkrst -config { Clk {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Freq {100} Ref_Clk0 {} Ref_Clk1 {} Ref_Clk2 {}} [get_bd_pins axi_dma_0/s_axi_lite_aclk]
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:clkrst -config { Clk {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Freq {100} Ref_Clk0 {} Ref_Clk1 {} Ref_Clk2 {}} [get_bd_pins ps8_0_axi_periph/ACLK]
- // Tcl Message: INFO: [BD 5-455] Automation on '/ps8_0_axi_periph/M00_ACLK' will not be run, since it is obsolete due to previously run automations
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:clkrst -config { Clk {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Freq {100} Ref_Clk0 {} Ref_Clk1 {} Ref_Clk2 {}} [get_bd_pins ps8_0_axi_periph/M01_ACLK]
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:clkrst -config { Clk {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Freq {100} Ref_Clk0 {} Ref_Clk1 {} Ref_Clk2 {}} [get_bd_pins ps8_0_axi_periph/S00_ACLK]
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:clkrst -config { Clk {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Freq {100} Ref_Clk0 {} Ref_Clk1 {} Ref_Clk2 {}} [get_bd_pins ps8_0_axi_periph/S01_ACLK]
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config { Clk_master {Auto} Clk_slave {Auto} Clk_xbar {Auto} Master {/zynq_ultra_ps_e_0/M_AXI_HPM0_FPD} Slave {/read_add_one_0/s_axi} ddr_seg {Auto} intc_ip {/ps8_0_axi_periph} master_apm {0}} [get_bd_intf_pins read_add_one_0/s_axi]
- // Tcl Message: Slave segment '/read_add_one_0/s_axi/reg0' is being assigned into address space '/zynq_ultra_ps_e_0/Data' at <0xA001_0000 [ 64K ]>.
- // Tcl Message: INFO: [BD 5-455] Automation on '/rst_ps8_0_200M/slowest_sync_clk' will not be run, since it is obsolete due to previously run automations INFO: [BD 5-455] Automation on '/zynq_ultra_ps_e_0/maxihpm0_fpd_aclk' will not be run, since it is obsolete due to previously run automations INFO: [BD 5-455] Automation on '/zynq_ultra_ps_e_0/maxihpm1_fpd_aclk' will not be run, since it is obsolete due to previously run automations
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:clkrst -config { Clk {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Freq {100} Ref_Clk0 {} Ref_Clk1 {} Ref_Clk2 {}} [get_bd_pins zynq_ultra_ps_e_0/saxihp0_fpd_aclk]
- // Tcl Message: endgroup
- dismissDialog("Run Connection Automation"); // bz (cs)
- // Run Command: RDIResourceCommand.RDICommands_DELETE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_SCRIPT_TASK
- // Tcl Message: delete_bd_objs [get_bd_intf_nets ps8_0_axi_periph_M01_AXI] [get_bd_cells read_add_one_0]
- // Elapsed time: 10 seconds
- selectButton(PAResourceQtoS.SystemBuilderView_ADD_IP, "System_RSB_ADD_IP"); // E (g, cs)
- // HMemoryUtils.trashcanNow. Engine heap size: 2,222 MB. GUI used memory: 108 MB. Current time: 4/23/22, 8:27:19 PM CST
- setText("PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE_SEARCH_FIELD", "read"); // OverlayTextField (az, ResizableWindow)
- selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 0, "read_add_one_v1_0", 0, false); // L (J, ResizableWindow)
- expandTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 0); // L (J, ResizableWindow)
- selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 0, "read_add_one_v1_0", 0, false, false, false, false, false, true); // L (J, ResizableWindow) - Double Click
- // TclEventType: REPORT_IP_STATUS_STALE
- // bz (cs): Add IP : addNotify
- // TclEventType: REPORT_IP_STATUS_STALE
- // Tcl Message: startgroup
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // Tcl Message: create_bd_cell -type ip -vlnv user.org:user:read_add_one:1.0 read_add_one_0
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_ADD_OBJECT
- // Tcl Message: endgroup
- dismissDialog("Add IP"); // bz (cs)
- // Tcl Command: 'set_property location {2 624 130} [get_bd_cells read_add_one_0]'
- // TclEventType: RSB_CANVAS_LOCATION
- // Tcl Message: set_property location {2 624 130} [get_bd_cells read_add_one_0]
- selectButton(PAResourceQtoS.SystemBuilderView_ADD_IP, "System_RSB_ADD_IP"); // E (g, cs)
- setText("PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE_SEARCH_FIELD", (String) null); // OverlayTextField (az)
- // Tcl Command: 'set_property location {1 470 115} [get_bd_cells read_add_one_0]'
- // TclEventType: RSB_CANVAS_LOCATION
- // Tcl Message: set_property location {1 470 115} [get_bd_cells read_add_one_0]
- expandTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "CONFIG ; ", 1); // l (C, cs)
- selectTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "FREQ_HZ ; 100000000", 5, "100000000", 1, false); // l (C, cs)
- selectTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "FREQ_HZ ; 100000000", 5, "100000000", 1, false); // l (C, cs)
- // TclEventType: RSB_PROPERTY_CHANGE
- // Tcl Message: set_property CONFIG.FREQ_HZ 200000000 [get_bd_pins /read_add_one_0/clk]
- // Elapsed time: 12 seconds
- expandTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "CONFIG ; ", 1); // l (C, cs)
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_in] [get_bd_intf_pins axi_dma_0/M_AXIS_MM2S]
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_out] [get_bd_intf_pins axi_dma_0/S_AXIS_S2MM]
- // Elapsed time: 24 seconds
- selectButton(PAResourceQtoS.RSBApplyAutomationBar_RUN_CONNECTION_AUTOMATION, "Run Connection Automation"); // h (dq, cs)
- // ai (cs): Run Connection Automation: addNotify
- selectCheckBoxTree(PAResourceAtoD.ApplyRSBMultiAutomationDialog_CHECKBOX_TREE, "[All Automation (2 out of 3 selected), axi_dma_0]", 1, true, true, ui.utils.collection.couples.TriState.True); // aw (J, ai) - Node
- selectCheckBoxTree(PAResourceAtoD.ApplyRSBMultiAutomationDialog_CHECKBOX_TREE, "[All Automation (0 out of 3 selected)]", 0, true, true, ui.utils.collection.couples.TriState.False); // aw (J, ai) - Node
- selectCheckBoxTree(PAResourceAtoD.ApplyRSBMultiAutomationDialog_CHECKBOX_TREE, "[All Automation (3 out of 3 selected)]", 0, true, true, ui.utils.collection.couples.TriState.True); // aw (J, ai) - Node
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (ai)
- dismissDialog("Run Connection Automation"); // ai (cs)
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_CONNECTION_CHANGE
- // bz (cs): Run Connection Automation : addNotify
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: startgroup
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:clkrst -config { Clk {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Freq {100} Ref_Clk0 {} Ref_Clk1 {} Ref_Clk2 {}} [get_bd_pins axi_dma_0/m_axi_mm2s_aclk]
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: XGUI_RESET_GUI_ELEMENT
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:clkrst -config { Clk {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Freq {100} Ref_Clk0 {} Ref_Clk1 {} Ref_Clk2 {}} [get_bd_pins axi_dma_0/m_axi_s2mm_aclk]
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config { Clk_master {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Clk_slave {Auto} Clk_xbar {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Master {/zynq_ultra_ps_e_0/M_AXI_HPM0_FPD} Slave {/read_add_one_0/s_axi} ddr_seg {Auto} intc_ip {/ps8_0_axi_periph} master_apm {0}} [get_bd_intf_pins read_add_one_0/s_axi]
- // Tcl Message: Slave segment '/read_add_one_0/s_axi/reg0' is being assigned into address space '/zynq_ultra_ps_e_0/Data' at <0xA001_0000 [ 64K ]>.
- // Tcl Message: endgroup
- dismissDialog("Run Connection Automation"); // bz (cs)
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 21, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
- // am (cs): Save Project: addNotify
- selectButton(PAResourceQtoS.SaveProjectUtils_SAVE, "Save"); // a (am)
- // bz (cs): Save Constraints : addNotify
- // TclEventType: RSB_SAVE_DIAGRAM
- dismissDialog("Save Project"); // am (cs)
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: save_bd_design
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd> Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui>
- // A (cs): No Implementation Results Available: addNotify
- dismissDialog("Save Constraints"); // bz (cs)
- selectButton(RDIResource.BaseDialog_YES, "Yes"); // a (A)
- // bz (cs): Resetting Runs : addNotify
- dismissDialog("No Implementation Results Available"); // A (cs)
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // Tcl Message: reset_run synth_1
- // f (cs): Launch Runs: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (f)
- // 'cD' command handler elapsed time: 4 seconds
- // TclEventType: RUN_LAUNCH
- dismissDialog("Launch Runs"); // f (cs)
- // Tcl Message: launch_runs impl_1 -to_step write_bitstream -jobs 18
- // TclEventType: RUN_MODIFY
- selectButton(RDIResource.BaseReportTab_RERUN, "Rerun"); // h (ds, cs)
- // bz (cs): Generate Bitstream : addNotify
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_MODIFY
- // Tcl Message: [Sat Apr 23 20:28:32 2022] Launched synth_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/synth_1/runme.log [Sat Apr 23 20:28:32 2022] Launched impl_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/impl_1/runme.log
- dismissDialog("Generate Bitstream"); // bz (cs)
- // HMemoryUtils.trashcanNow. Engine heap size: 2,254 MB. GUI used memory: 108 MB. Current time: 4/23/22, 8:28:34 PM CST
- selectButton(RDIResource.BaseReportTab_RERUN, "Rerun"); // h (ds, cs)
- // TclEventType: RUN_STATUS_CHANGE
- // Tcl Command: 'report_ip_status -name ip_status '
- // TclEventType: IP_SUMMARY_RESULTS
- // Tcl Message: report_ip_status -name ip_status
- selectTab((HResource) null, (HResource) null, "Messages", 1); // aL (aI, cs)
- selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, design_1, General Messages, [BD 41-237] Bus Interface property FREQ_HZ does not match between /read_add_one_0/axis_in(100000000) and /axi_dma_0/M_AXIS_MM2S(200000000). ]", 5, true, false, false, false, true, false); // ah (J, cs) - Popup Trigger - Node
- selectMenu(PAResourceItoN.MsgTreePanel_MESSAGE_SEVERITY, "Message Severity"); // af (K, Popup.HeavyWeightWindow)
- selectMenuItem(PAResourceItoN.MsgTreePanel_DISCARD_USER_CREATED_MESSAGES, "Discard User Created Messages"); // ai (K, Popup.HeavyWeightWindow)
- // [Engine Memory]: 2,363 MB (+103467kb) [02:21:24]
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // TclEventType: RUN_FAILED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // ag (cs): Implementation Failed: addNotify
- // Elapsed time: 139 seconds
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (ag)
- // Run Command: PAResourceCommand.PACommandNames_MESSAGE_WINDOW
- dismissDialog("Implementation Failed"); // ag (cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v)]", 2, true); // B (F, cs) - Node
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v)]", 2, true, false, false, false, true, false); // B (F, cs) - Popup Trigger - Node
- selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // af (ao, cs)
- selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // af (ao, cs)
- selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // af (ao, cs)
- selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ai (ao, cs)
- // Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: FILE_SET_OPTIONS_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // Tcl Message: set_property top design_1_wrapper [current_fileset]
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: update_compile_order -fileset sources_1
- selectButton(PAResourceItoN.MsgView_CLEAR_MESSAGES_RESULTING_FROM_USER_EXECUTED, "Messages_cleanUpMessages"); // E (g, cs)
- selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Implementation]", 6, true, false, false, false, true, false); // ah (J, cs) - Popup Trigger - Node
- selectMenu(PAResourceItoN.MsgTreePanel_MESSAGE_SEVERITY, "Message Severity"); // af (K, Popup.HeavyWeightWindow)
- selectMenuItem(PAResourceItoN.MsgTreePanel_DISCARD_USER_CREATED_MESSAGES, "Discard User Created Messages"); // ai (K, Popup.HeavyWeightWindow)
- selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Synthesis, Out-of-Context Module Runs]", 1, true, false, false, false, true, false); // ah (J, cs) - Popup Trigger - Node
- // HMemoryUtils.trashcanNow. Engine heap size: 2,387 MB. GUI used memory: 108 MB. Current time: 4/23/22, 8:31:19 PM CST
- selectMenuItem(PAResourceItoN.MsgTreePanel_DISCARD_USER_CREATED_MESSAGES, "Discard User Created Messages"); // ai (K, Popup.HeavyWeightWindow)
- selectButton(PAResourceItoN.MsgView_CLEAR_MESSAGES_RESULTING_FROM_USER_EXECUTED, "Messages_cleanUpMessages"); // E (g, cs)
- selectButton(PAResourceItoN.MsgView_CLEAR_MESSAGES_RESULTING_FROM_USER_EXECUTED, "Messages_cleanUpMessages"); // E (g, cs)
- selectButton(PAResourceItoN.MsgView_CLEAR_MESSAGES_RESULTING_FROM_USER_EXECUTED, "Messages_cleanUpMessages"); // E (g, cs)
- selectButton(PAResourceItoN.MsgView_CLEAR_MESSAGES_RESULTING_FROM_USER_EXECUTED, "Messages_cleanUpMessages"); // E (g, cs)
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 21, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
- // A (cs): No Implementation Results Available: addNotify
- selectButton(RDIResource.BaseDialog_YES, "Yes"); // a (A)
- // bz (cs): Resetting Runs : addNotify
- // TclEventType: RUN_MODIFY
- dismissDialog("No Implementation Results Available"); // A (cs)
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // Tcl Message: reset_run synth_1
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // Tcl Message: reset_run design_1_synth_1
- // f (cs): Launch Runs: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (f)
- // TclEventType: RSB_SCRIPT_TASK
- dismissDialog("Launch Runs"); // f (cs)
- // Tcl Message: launch_runs impl_1 -to_step write_bitstream -jobs 18
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // bz (cs): Generate Bitstream : addNotify
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_CLOSE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd> VHDL Output written to : /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/synth/design_1.v VHDL Output written to : /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/sim/design_1.v VHDL Output written to : /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/hdl/design_1_wrapper.v
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: Exporting to file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ip/design_1_axi_smc_0/bd_0/hw_handoff/design_1_axi_smc_0.hwh Generated Block Design Tcl file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ip/design_1_axi_smc_0/bd_0/hw_handoff/design_1_axi_smc_0_bd.tcl Generated Hardware Definition File /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ip/design_1_axi_smc_0/bd_0/synth/design_1_axi_smc_0.hwdef
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block axi_smc . INFO: [BD 41-1029] Generation completed for the IP Integrator block read_add_one_0 .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s00_couplers/auto_ds .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s00_couplers/auto_pc .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s01_couplers/auto_ds .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s01_couplers/auto_pc .
- // Tcl Message: Exporting to file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/hw_handoff/design_1.hwh Generated Block Design Tcl file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/hw_handoff/design_1_bd.tcl Generated Hardware Definition File /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/synth/design_1.hwdef
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RUN_LAUNCH
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RUN_LAUNCH
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_MODIFY
- // Tcl Message: [Sat Apr 23 20:31:44 2022] Launched design_1_synth_1, synth_1... Run output will be captured here: design_1_synth_1: /home/lq/dma_try/dma_try.runs/design_1_synth_1/runme.log synth_1: /home/lq/dma_try/dma_try.runs/synth_1/runme.log [Sat Apr 23 20:31:44 2022] Launched impl_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/impl_1/runme.log
- // Tcl Message: launch_runs: Time (s): cpu = 00:00:11 ; elapsed = 00:00:12 . Memory (MB): peak = 8068.320 ; gain = 40.020 ; free physical = 80639 ; free virtual = 140284
- // Elapsed time: 12 seconds
- dismissDialog("Generate Bitstream"); // bz (cs)
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // HMemoryUtils.trashcanNow. Engine heap size: 2,411 MB. GUI used memory: 108 MB. Current time: 4/23/22, 8:34:24 PM CST
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // [GUI Memory]: 176 MB (+2277kb) [02:28:09]
- // TclEventType: RUN_STEP_COMPLETED
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // ag (cs): Bitstream Generation Completed: addNotify
- // Elapsed time: 568 seconds
- dismissDialog("Bitstream Generation Completed"); // ag (cs)
- // Elapsed time: 16 seconds
- selectMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_PROJECT, "Project"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_CHECKPOINT, "Checkpoint"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_PROJECT, "Project"); // af (cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_FLOW, "Flow"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_FLOW, "Flow"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_REPORTS, "Reports"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_REPORTS, "Reports"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_FLOW, "Flow"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_PROJECT, "Project"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_CHECKPOINT, "Checkpoint"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_IP, "IP"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_TEXT_EDITOR, "Text Editor"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_EXPORT, "Export"); // af (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_EXPORT, "Export"); // af (cs)
- selectMenuItem(PAResourceCommand.PACommandNames_EXPORT_HARDWARE, "Export Hardware..."); // ai (cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // aa (q, cs)
- // Run Command: PAResourceCommand.PACommandNames_EXPORT_HARDWARE
- // Tcl Command: 'get_property pfm_name [get_files -all {/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd}]'
- // Tcl Command: 'get_property pfm_name [get_files -all {/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd}]'
- // k (cs): Export Hardware Platform: addNotify
- selectButton("NEXT", "Next >"); // JButton (j, k)
- selectButton("NEXT", "Next >"); // JButton (j, k)
- selectButton("BACK", "< Back"); // JButton (j, k)
- selectButton("NEXT", "Next >"); // JButton (j, k)
- selectButton("BACK", "< Back"); // JButton (j, k)
- selectRadioButton((HResource) null, "Include bitstream. This platform includes the complete hardware implementation and bitstream, in addition to the hardware specification for software tools."); // b (a, k)
- selectButton("NEXT", "Next >"); // JButton (j, k)
- selectButton("NEXT", "Next >"); // JButton (j, k)
- selectButton("OptionPane.button", "Yes"); // JButton (v, C)
- // Tcl Command: 'get_property pfm_name [get_files -all {/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd}]'
- // Tcl Command: 'set_property pfm_name {} [get_files -all {/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd}]'
- selectButton("FINISH", "Finish"); // JButton (j, k)
- // 'm' command handler elapsed time: 9 seconds
- // Tcl Message: set_property pfm_name {} [get_files -all {/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd}]
- dismissDialog("Export Hardware Platform"); // k (cs)
- // Tcl Message: write_hw_platform -fixed -include_bit -force -file /home/lq/dma_try/design_1_wrapper.xsa
- // Tcl Message: INFO: [Vivado 12-4895] Creating Hardware Platform: /home/lq/dma_try/design_1_wrapper.xsa ...
- // bz (cs): Export Hardware Platform : addNotify
- selectButton(RDIResource.ProgressDialog_BACKGROUND, "Background"); // a (bz)
- // HMemoryUtils.trashcanNow. Engine heap size: 2,484 MB. GUI used memory: 109 MB. Current time: 4/23/22, 8:41:49 PM CST
- // [Engine Memory]: 2,484 MB (+2736kb) [02:34:30]
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "read_add_one.v", 2); // m (l, cs)
- // Tcl Message: INFO: [Vivado 12-4896] Successfully created Hardware Platform: /home/lq/dma_try/design_1_wrapper.xsa
- // Tcl Message: write_hw_platform: Time (s): cpu = 00:00:10 ; elapsed = 00:00:09 . Memory (MB): peak = 8092.332 ; gain = 0.000 ; free physical = 80521 ; free virtual = 140244
- dismissDialog("Export Hardware Platform"); // bz (cs)
- selectCodeEditor("read_add_one.v", 73, 157); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 73, 157, false, false, false, false, true); // bP (w, cs) - Double Click
- // Elapsed time: 22 seconds
- selectCodeEditor("read_add_one.v", 114, 270); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 111, 272); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 108, 277); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 107, 277, false, false, false, false, true); // bP (w, cs) - Double Click
- // Elapsed time: 18 seconds
- selectCodeEditor("read_add_one.v", 155, 365); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 155, 365, false, false, false, false, true); // bP (w, cs) - Double Click
- // Elapsed time: 30 seconds
- selectCodeEditor("read_add_one.v", 188, 202); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 209, 193); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 63, 204); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 62, 207); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 98, 267); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 98, 267, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 222, 192); // bP (w, cs)
- // Elapsed time: 39 seconds
- selectCodeEditor("read_add_one.v", 297, 338); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 397, 286); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 447, 257); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 318, 361); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 209, 88); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- selectCodeEditor("read_add_one.v", 368, 212); // bP (w, cs)
- // HMemoryUtils.trashcanNow. Engine heap size: 2,519 MB. GUI used memory: 109 MB. Current time: 4/23/22, 8:44:24 PM CST
- typeControlKey(null, null, 'z');
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // Elapsed time: 21 seconds
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- selectCodeEditor("read_add_one.v", 244, 335); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 145, 60); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 185, 69); // bP (w, cs)
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- selectCodeEditor("read_add_one.v", 175, 212); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 215, 191); // bP (w, cs)
- // HMemoryUtils.trashcanNow. Engine heap size: 2,551 MB. GUI used memory: 109 MB. Current time: 4/23/22, 8:45:04 PM CST
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 175, 198); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 175, 198, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 215, 188); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 366, 204); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 411, 195); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 373, 187); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 387, 185); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 185, 201); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 218, 203); // bP (w, cs)
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- selectButton(PAResourceOtoP.PlanAheadTab_REFRESH_IP_CATALOG, "Refresh IP Catalog"); // h (ds, cs)
- // TclEventType: CREATE_IP_CATALOG
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: IP_LOCK_CHANGE
- // TclEventType: BDCELL_LOCK_CHANGE
- // TclEventType: CREATE_IP_CATALOG
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // Tcl Message: update_ip_catalog -rebuild -scan_changes
- // Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories
- // Tcl Message: INFO: [IP_Flow 19-1700] Loaded user IP repository '/home/lq/dma_try'.
- // TclEventType: IP_SUMMARY_RESULTS
- // bz (cs): Refresh IP Catalog : addNotify
- // TclEventType: IP_SUMMARY_RESULTS
- // Tcl Message: report_ip_status -name ip_status
- dismissDialog("Refresh IP Catalog"); // bz (cs)
- selectButton(PAResourceItoN.IPStatusSectionPanel_UPGRADE_SELECTED, "Upgrade Selected"); // a (g, cs)
- // Run Command: PAResourceCommand.PACommandNames_UPGRADE_IP
- // TclEventType: RSB_OPEN_DIAGRAM
- // TclEventType: RSB_SCRIPT_TASK
- // Tcl Message: upgrade_ip -srcset design_1 -vlnv user.org:user:read_add_one:1.0 [get_ips design_1_read_add_one_0_3] -log ip_upgrade.log
- // TclEventType: DG_GRAPH_STALE
- // Tcl Message: Upgrading '/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd'
- // TclEventType: DG_GRAPH_STALE
- // bz (cs): Upgrade IP : addNotify
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILESET_UPDATE_IP
- // TclEventType: IP_UPGRADE_COMPLETE
- // Tcl Message: INFO: [IP_Flow 19-1972] Upgraded design_1_read_add_one_0_3 from read_add_one_v1_0 1.0 to read_add_one_v1_0 1.0
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd>
- // Tcl Message: INFO: [Coretcl 2-1525] Wrote upgrade log to '/home/lq/dma_try/ip_upgrade.log'.
- // Tcl Message: export_ip_user_files -of_objects [get_ips design_1_read_add_one_0_3] -no_script -sync -force -quiet
- // aI (cs): Generate Output Products: addNotify
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- selectButton(PAResourceQtoS.SimpleOutputProductDialog_GENERATE_OUTPUT_PRODUCTS_IMMEDIATELY, "Generate"); // a (aI)
- // bz (cs): Managing Output Products : addNotify
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: generate_target all [get_files /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd]
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_CLOSE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // Tcl Message: ERROR: [BD 41-237] Bus Interface property FREQ_HZ does not match between /read_add_one_0/axis_in(100000000) and /axi_dma_0/M_AXIS_MM2S(200000000)
- // Tcl Message: ERROR: [BD 41-237] Bus Interface property FREQ_HZ does not match between /axi_dma_0/S_AXIS_S2MM(200000000) and /read_add_one_0/axis_out(100000000) ERROR: [BD 41-237] Bus Interface property FREQ_HZ does not match between /read_add_one_0/s_axi(100000000) and /ps8_0_axi_periph/xbar/M01_AXI(200000000) ERROR: [BD 41-238] Port/Pin property FREQ_HZ does not match between /read_add_one_0/clk(100000000) and /zynq_ultra_ps_e_0/pl_clk0(200000000) ERROR: [BD 41-1031] Hdl Generation failed for the IP Integrator design /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd
- // Tcl Message: generate_target: Time (s): cpu = 00:00:12 ; elapsed = 00:00:11 . Memory (MB): peak = 8244.664 ; gain = 0.000 ; free physical = 80548 ; free virtual = 140241
- // Tcl Message: ERROR: [Common 17-39] 'generate_target' failed due to earlier errors.
- // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'generate_target' failed due to earlier errors.
- // a (cs): Critical Messages: addNotify
- // Elapsed time: 11 seconds
- dismissDialog("Managing Output Products"); // bz (cs)
- // HMemoryUtils.trashcanNow. Engine heap size: 2,583 MB. GUI used memory: 112 MB. Current time: 4/23/22, 8:46:04 PM CST
- // Elapsed time: 214 seconds
- selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK"); // f (a)
- dismissDialog("Critical Messages"); // a (cs)
- // Run Command: RDIResourceCommand.RDICommands_DELETE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_SCRIPT_TASK
- // Tcl Message: delete_bd_objs [get_bd_intf_nets axi_dma_0_M_AXIS_MM2S] [get_bd_intf_nets read_add_one_0_axis_out] [get_bd_intf_nets ps8_0_axi_periph_M01_AXI] [get_bd_cells read_add_one_0]
- // [Engine Memory]: 2,663 MB (+57684kb) [02:42:24]
- selectButton(PAResourceQtoS.SystemBuilderView_ADD_IP, "System_RSB_ADD_IP"); // E (g, cs)
- setText("PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE_SEARCH_FIELD", "read"); // OverlayTextField (az, ResizableWindow)
- selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 0, "read_add_one_v1_0", 0, false); // L (J, ResizableWindow)
- expandTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 0); // L (J, ResizableWindow)
- selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 0, "read_add_one_v1_0", 0, false, false, false, false, false, true); // L (J, ResizableWindow) - Double Click
- // TclEventType: REPORT_IP_STATUS_STALE
- // bz (cs): Add IP : addNotify
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_ADD_OBJECT
- // Tcl Message: startgroup
- // Tcl Message: create_bd_cell -type ip -vlnv user.org:user:read_add_one:1.0 read_add_one_0
- // Tcl Message: endgroup
- dismissDialog("Add IP"); // bz (cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Package IP - read_add_one", 3); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "read_add_one.v", 2); // m (l, cs)
- // HMemoryUtils.trashcanNow. Engine heap size: 2,615 MB. GUI used memory: 109 MB. Current time: 4/23/22, 8:49:54 PM CST
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Package IP - read_add_one", 3); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "System", 0); // m (l, cs)
- // Tcl Command: 'set_property location {2 475 113} [get_bd_cells read_add_one_0]'
- // TclEventType: RSB_CANVAS_LOCATION
- // Tcl Message: set_property location {2 475 113} [get_bd_cells read_add_one_0]
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_in] [get_bd_intf_pins axi_dma_0/M_AXIS_MM2S]
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_out] [get_bd_intf_pins axi_dma_0/S_AXIS_S2MM]
- // Elapsed time: 30 seconds
- selectButton(PAResourceQtoS.RSBApplyAutomationBar_RUN_CONNECTION_AUTOMATION, "Run Connection Automation"); // h (dq, cs)
- // ai (cs): Run Connection Automation: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (ai)
- dismissDialog("Run Connection Automation"); // ai (cs)
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // bz (cs): Run Connection Automation : addNotify
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config { Clk_master {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Clk_slave {Auto} Clk_xbar {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Master {/zynq_ultra_ps_e_0/M_AXI_HPM0_FPD} Slave {/read_add_one_0/s_axi} ddr_seg {Auto} intc_ip {/ps8_0_axi_periph} master_apm {0}} [get_bd_intf_pins read_add_one_0/s_axi]
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: XGUI_RESET_GUI_ELEMENT
- // Tcl Message: Slave segment '/read_add_one_0/s_axi/reg0' is being assigned into address space '/zynq_ultra_ps_e_0/Data' at <0xA001_0000 [ 64K ]>.
- dismissDialog("Run Connection Automation"); // bz (cs)
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 21, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
- // am (cs): Save Project: addNotify
- selectButton(PAResourceQtoS.SaveProjectUtils_CANCEL, "Cancel"); // a (am)
- dismissDialog("Save Project"); // am (cs)
- // Run Command: RDIResourceCommand.RDICommands_UNDO
- typeControlKey(null, null, 'z');
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_OBJECT
- // Tcl Message: undo
- // Tcl Message: INFO: [Common 17-17] undo 'apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config { Clk_master {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Clk_slave {Auto} Clk_xbar {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Master {/zynq_ultra_ps_e_0/M_AXI_HPM0_FPD} Slave {/read_add_one_0/s_axi} ddr_seg {Auto} intc_ip {/ps8_0_axi_periph} master_apm {0}} [get_bd_intf_pins read_add_one_0/s_axi]'
- // Run Command: RDIResourceCommand.RDICommands_UNDO
- typeControlKey(null, null, 'z');
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_OBJECT
- // Tcl Message: undo
- // Tcl Message: INFO: [Common 17-17] undo 'connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_out] [get_bd_intf_pins axi_dma_0/S_AXIS_S2MM]'
- // Run Command: RDIResourceCommand.RDICommands_UNDO
- typeControlKey(null, null, 'z');
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_OBJECT
- // Tcl Message: undo
- // Tcl Message: INFO: [Common 17-17] undo 'connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_in] [get_bd_intf_pins axi_dma_0/M_AXIS_MM2S]'
- expandTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "CONFIG ; ", 1); // l (C, cs)
- selectTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "FREQ_HZ ; 100000000", 5, "100000000", 1, false); // l (C, cs)
- selectTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "FREQ_HZ ; 100000000", 5, "100000000", 1, false); // l (C, cs)
- // Run Command: PAResourceCommand.PACommandNames_SAVE_RSB_DESIGN
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // bz (cs): Save Design : addNotify
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // Tcl Message: save_bd_design
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd> Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui>
- dismissDialog("Save Design"); // bz (cs)
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // Tcl Message: set_property CONFIG.FREQ_HZ 200000000 [get_bd_pins /read_add_one_0/clk]
- // Run Command: PAResourceCommand.PACommandNames_REPLACE_IN_FILES
- // Replace in Files: addNotify
- dismissDialog("Replace in Files"); // a (cs)
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_in] [get_bd_intf_pins axi_dma_0/M_AXIS_MM2S]
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_out] [get_bd_intf_pins axi_dma_0/S_AXIS_S2MM]
- // Elapsed time: 13 seconds
- selectButton(PAResourceQtoS.RSBApplyAutomationBar_RUN_CONNECTION_AUTOMATION, "Run Connection Automation"); // h (dq, cs)
- // ai (cs): Run Connection Automation: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (ai)
- dismissDialog("Run Connection Automation"); // ai (cs)
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config { Clk_master {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Clk_slave {Auto} Clk_xbar {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Master {/zynq_ultra_ps_e_0/M_AXI_HPM0_FPD} Slave {/read_add_one_0/s_axi} ddr_seg {Auto} intc_ip {/ps8_0_axi_periph} master_apm {0}} [get_bd_intf_pins read_add_one_0/s_axi]
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // bz (cs): Run Connection Automation : addNotify
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: XGUI_RESET_GUI_ELEMENT
- // Tcl Message: Slave segment '/read_add_one_0/s_axi/reg0' is being assigned into address space '/zynq_ultra_ps_e_0/Data' at <0xA001_0000 [ 64K ]>.
- dismissDialog("Run Connection Automation"); // bz (cs)
- // Run Command: PAResourceCommand.PACommandNames_SAVE_RSB_DESIGN
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // Tcl Message: save_bd_design
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd> Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui>
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation, Run Implementation]", 18, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_RUN_IMPLEMENTATION
- // A (cs): Out-of-Context module run and Synthesis run are Out-of-date: addNotify
- selectButton(RDIResource.BaseDialog_YES, "Yes"); // a (A)
- // bz (cs): Resetting Runs : addNotify
- dismissDialog("Out-of-Context module run and Synthesis run are Out-of-date"); // A (cs)
- // TclEventType: RUN_MODIFY
- // HMemoryUtils.trashcanNow. Engine heap size: 2,648 MB. GUI used memory: 110 MB. Current time: 4/23/22, 8:51:29 PM CST
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // Tcl Message: reset_run design_1_synth_1
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // Tcl Message: reset_run synth_1
- // f (cs): Launch Runs: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (f)
- // bz (cs): Starting Design Runs : addNotify
- // TclEventType: RSB_SCRIPT_TASK
- dismissDialog("Launch Runs"); // f (cs)
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: launch_runs impl_1 -jobs 18
- // TclEventType: FILE_SET_CHANGE
- selectButton(RDIResource.ProgressDialog_BACKGROUND, "Background"); // a (bz)
- selectButton(RDIResource.BaseReportTab_RERUN, "Rerun"); // h (ds, cs)
- // HOptionPane Warning: 'A background task is running. Please wait until it completes and try again. (Background Task)'
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- // TclEventType: RSB_CLOSE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd> VHDL Output written to : /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/synth/design_1.v VHDL Output written to : /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/sim/design_1.v VHDL Output written to : /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/hdl/design_1_wrapper.v
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: Exporting to file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ip/design_1_axi_smc_0/bd_0/hw_handoff/design_1_axi_smc_0.hwh Generated Block Design Tcl file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ip/design_1_axi_smc_0/bd_0/hw_handoff/design_1_axi_smc_0_bd.tcl Generated Hardware Definition File /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ip/design_1_axi_smc_0/bd_0/synth/design_1_axi_smc_0.hwdef
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block axi_smc . INFO: [BD 41-1029] Generation completed for the IP Integrator block read_add_one_0 .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s00_couplers/auto_ds .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s00_couplers/auto_pc .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s01_couplers/auto_ds .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s01_couplers/auto_pc .
- // Tcl Message: Exporting to file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/hw_handoff/design_1.hwh Generated Block Design Tcl file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/hw_handoff/design_1_bd.tcl Generated Hardware Definition File /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/synth/design_1.hwdef
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RUN_LAUNCH
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RUN_LAUNCH
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_MODIFY
- // Tcl Message: [Sat Apr 23 20:51:44 2022] Launched design_1_synth_1, synth_1... Run output will be captured here: design_1_synth_1: /home/lq/dma_try/dma_try.runs/design_1_synth_1/runme.log synth_1: /home/lq/dma_try/dma_try.runs/synth_1/runme.log [Sat Apr 23 20:51:44 2022] Launched impl_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/impl_1/runme.log
- // Tcl Message: launch_runs: Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 8329.703 ; gain = 10.004 ; free physical = 80573 ; free virtual = 140218
- dismissDialog("Starting Design Runs"); // bz (cs)
- // TclEventType: RUN_STATUS_CHANGE
- // Elapsed time: 94 seconds
- selectButton(RDIResource.BaseReportTab_RERUN, "Rerun"); // h (ds, cs)
- // Tcl Command: 'report_ip_status -name ip_status '
- // TclEventType: IP_SUMMARY_RESULTS
- // Tcl Message: report_ip_status -name ip_status
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // HMemoryUtils.trashcanNow. Engine heap size: 2,673 MB. GUI used memory: 110 MB. Current time: 4/23/22, 8:54:24 PM CST
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // Elapsed time: 78 seconds
- selectButton(RDIResource.HPopupTitle_CLOSE, (String) null); // k (n, ResizableWindow)
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // ag (cs): Implementation Completed: addNotify
- // Elapsed time: 357 seconds
- selectRadioButton(PAResourceCommand.PACommandNames_RUN_BITGEN, "Generate Bitstream"); // a (Q, ag)
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (ag)
- // Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
- // f (cs): Launch Runs: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (f)
- // TclEventType: RUN_LAUNCH
- dismissDialog("Launch Runs"); // f (cs)
- // TclEventType: RUN_MODIFY
- // Tcl Message: launch_runs impl_1 -to_step write_bitstream -jobs 18
- // TclEventType: RUN_MODIFY
- // Tcl Message: [Sat Apr 23 21:00:37 2022] Launched impl_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/impl_1/runme.log
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // ag (cs): Bitstream Generation Completed: addNotify
- // Run Command: PAResourceCommand.PACommandNames_GOTO_IMPLEMENTED_DESIGN
- // bz (cs): Open Implemented Design : addNotify
- // Tcl Message: open_run impl_1
- // Elapsed time: 95 seconds
- selectButton(RDIResource.ProgressDialog_CANCEL, "Cancel"); // a (bz)
- // HMemoryUtils.trashcanNow. Engine heap size: 2,718 MB. GUI used memory: 110 MB. Current time: 4/23/22, 9:02:14 PM CST
- // TclEventType: DESIGN_CLOSE
- // [Engine Memory]: 3,390 MB (+622976kb) [02:55:02]
- // TclEventType: DESIGN_NEW_FAILED
- // Tcl Message: INFO: [Device 21-403] Loading part xczu3eg-sbva484-1-e INFO: [Common 17-41] Interrupt caught. Command should exit soon.
- // CommandFailedException: ERROR: [Common 17-69] Command failed:
- // Tcl Message: Netlist sorting complete. Time (s): cpu = 00:00:00.18 ; elapsed = 00:00:00.18 . Memory (MB): peak = 8927.188 ; gain = 0.000 ; free physical = 79947 ; free virtual = 139671
- // Tcl Message: INFO: [Netlist 29-17] Analyzing 134 Unisim elements for replacement INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds INFO: [Project 1-479] Netlist was created with Vivado 2020.1
- // Tcl Message: open_run: Time (s): cpu = 00:00:07 ; elapsed = 00:00:11 . Memory (MB): peak = 8927.188 ; gain = 573.473 ; free physical = 79968 ; free virtual = 139692
- // Tcl Message: INFO: [Common 17-344] 'open_run' was cancelled
- // 'dZ' command handler elapsed time: 11 seconds
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (ag)
- dismissDialog("Open Implemented Design"); // bz (cs)
- // Elapsed time: 1122 seconds
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "read_add_one.v", 2); // m (l, cs)
- // Elapsed time: 154 seconds
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Address Editor", 1); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "System", 0); // m (l, cs)
- // Elapsed time: 10 seconds
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Package IP - read_add_one", 3); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "read_add_one.v", 2); // m (l, cs)
- // Elapsed time: 11 seconds
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Address Editor", 1); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "System", 0); // m (l, cs)
- // Elapsed time: 48 seconds
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "read_add_one.v", 2); // m (l, cs)
- // Elapsed time: 75 seconds
- selectCodeEditor("read_add_one.v", 76, 233); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 76, 233, false, false, false, false, true); // bP (w, cs) - Double Click
- // Elapsed time: 100 seconds
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Package IP - read_add_one", 3); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Address Editor", 1); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "System", 0); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "read_add_one.v", 2); // m (l, cs)
- // Elapsed time: 13 seconds
- selectCodeEditor("read_add_one.v", 728, 359); // bP (w, cs)
- // Elapsed time: 27 seconds
- selectCodeEditor("read_add_one.v", 84, 298); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 96, 305); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 96, 305, false, false, false, false, true); // bP (w, cs) - Double Click
- // Elapsed time: 16 seconds
- setText(RDIResource.HCodeEditor_SEARCH_TEXT_COMBO_BOX, "s_axi_wready"); // l (au, cs)
- selectCodeEditor("read_add_one.v", 91, 306); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 79, 306); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 2, 324); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 225, 337); // bP (w, cs)
- // Elapsed time: 63 seconds
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- selectCodeEditor("read_add_one.v", 175, 144); // bP (w, cs)
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // HMemoryUtils.trashcanNow. Engine heap size: 3,415 MB. GUI used memory: 108 MB. Current time: 4/23/22, 9:30:14 PM CST
- // Elapsed time: 59 seconds
- selectCodeEditor("read_add_one.v", 213, 153); // bP (w, cs)
- // Elapsed time: 41 seconds
- selectCodeEditor("read_add_one.v", 133, 266); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 133, 266, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 250, 260); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 250, 260, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 138, 277); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 138, 277, false, false, false, false, true); // bP (w, cs) - Double Click
- // Elapsed time: 53 seconds
- selectCodeEditor("read_add_one.v", 3, 210); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 266, 268); // bP (w, cs)
- // Elapsed time: 83 seconds
- selectCodeEditor("read_add_one.v", 746, 238); // bP (w, cs)
- // Elapsed time: 19 seconds
- selectCodeEditor("read_add_one.v", 752, 205); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 202, 265); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 201, 263, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 206, 259); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 82, 263); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 82, 263, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 194, 263); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 200, 388); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 233, 341); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 197, 291); // bP (w, cs)
- // Elapsed time: 28 seconds
- selectCodeEditor("read_add_one.v", 876, 287); // bP (w, cs)
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: FILE_SET_CHANGE
- // Elapsed time: 19 seconds
- selectButton(PAResourceOtoP.PlanAheadTab_REFRESH_IP_CATALOG, "Refresh IP Catalog"); // h (ds, cs)
- // TclEventType: CREATE_IP_CATALOG
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: IP_LOCK_CHANGE
- // Tcl Message: update_ip_catalog -rebuild -scan_changes
- // TclEventType: IP_LOCK_CHANGE
- // TclEventType: BDCELL_LOCK_CHANGE
- // TclEventType: CREATE_IP_CATALOG
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories
- // Tcl Message: INFO: [IP_Flow 19-1700] Loaded user IP repository '/home/lq/dma_try'.
- // TclEventType: IP_SUMMARY_RESULTS
- // bz (cs): Refresh IP Catalog : addNotify
- // TclEventType: IP_SUMMARY_RESULTS
- // Tcl Message: report_ip_status -name ip_status
- dismissDialog("Refresh IP Catalog"); // bz (cs)
- // selectButton(PAResourceItoN.IPStatusTablePanel_MORE_INFO, "Upgrade IP"); // P
- // selectButton(PAResourceItoN.IPStatusTablePanel_MORE_INFO, "Upgrade IP"); // P (D, cs)
- selectTreeTable(PAResourceItoN.IPStatusTablePanel_IP_STATUS_TABLE, "/read_add_one_0 ; true ; design_1_read_add_one_0_4 [read_add_one_v1_0] (IP definition 'read_add_one_v1_0 (1.0)' changed on disk) ; design_1_read_add_one_0_4 [read_add_one_v1_0] (IP definition 'read_add_one_v1_0 (1.0)' changed on disk) ; ; read_add_one_v1_0 ; 1.0 (Rev. 1) ; 1.0 (Rev. 1) ; design_1_read_add_one_0_4 [read_add_one_v1_0] (IP definition 'read_add_one_v1_0 (1.0)' changed on disk) ; xczu3eg-sbva484-1-e", 1, "design_1_read_add_one_0_4 [read_add_one_v1_0] (IP definition 'read_add_one_v1_0 (1.0)' changed on disk)", 3, false); // D (J, cs)
- selectButton(PAResourceItoN.IPStatusSectionPanel_UPGRADE_SELECTED, "Upgrade Selected"); // a (g, cs)
- // Run Command: PAResourceCommand.PACommandNames_UPGRADE_IP
- // TclEventType: RSB_OPEN_DIAGRAM
- // TclEventType: RSB_SCRIPT_TASK
- // Tcl Message: upgrade_ip -srcset design_1 -vlnv user.org:user:read_add_one:1.0 [get_ips design_1_read_add_one_0_4] -log ip_upgrade.log
- // TclEventType: DG_GRAPH_STALE
- // Tcl Message: Upgrading '/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd'
- // bz (cs): Upgrade IP : addNotify
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILESET_UPDATE_IP
- // TclEventType: IP_UPGRADE_COMPLETE
- // Tcl Message: INFO: [IP_Flow 19-1972] Upgraded design_1_read_add_one_0_4 from read_add_one_v1_0 1.0 to read_add_one_v1_0 1.0
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd> Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui>
- // Tcl Message: INFO: [Coretcl 2-1525] Wrote upgrade log to '/home/lq/dma_try/ip_upgrade.log'.
- // Tcl Message: export_ip_user_files -of_objects [get_ips design_1_read_add_one_0_4] -no_script -sync -force -quiet
- // aI (cs): Generate Output Products: addNotify
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- selectButton(PAResourceQtoS.SimpleOutputProductDialog_GENERATE_OUTPUT_PRODUCTS_IMMEDIATELY, "Generate"); // a (aI)
- // bz (cs): Managing Output Products : addNotify
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: generate_target all [get_files /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd]
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_CLOSE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // Tcl Message: ERROR: [BD 41-237] Bus Interface property FREQ_HZ does not match between /read_add_one_0/axis_in(100000000) and /axi_dma_0/M_AXIS_MM2S(200000000)
- // Tcl Message: ERROR: [BD 41-237] Bus Interface property FREQ_HZ does not match between /axi_dma_0/S_AXIS_S2MM(200000000) and /read_add_one_0/axis_out(100000000) ERROR: [BD 41-237] Bus Interface property FREQ_HZ does not match between /read_add_one_0/s_axi(100000000) and /ps8_0_axi_periph/xbar/M01_AXI(200000000) ERROR: [BD 41-238] Port/Pin property FREQ_HZ does not match between /read_add_one_0/clk(100000000) and /zynq_ultra_ps_e_0/pl_clk0(200000000) ERROR: [BD 41-1031] Hdl Generation failed for the IP Integrator design /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd
- // Tcl Message: generate_target: Time (s): cpu = 00:00:12 ; elapsed = 00:00:11 . Memory (MB): peak = 9108.273 ; gain = 0.000 ; free physical = 79998 ; free virtual = 139691
- // Tcl Message: ERROR: [Common 17-39] 'generate_target' failed due to earlier errors.
- // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'generate_target' failed due to earlier errors.
- // a (cs): Critical Messages: addNotify
- // Elapsed time: 12 seconds
- dismissDialog("Managing Output Products"); // bz (cs)
- // HMemoryUtils.trashcanNow. Engine heap size: 3,448 MB. GUI used memory: 110 MB. Current time: 4/23/22, 9:36:24 PM CST
- selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK"); // f (a)
- dismissDialog("Critical Messages"); // a (cs)
- // Run Command: RDIResourceCommand.RDICommands_DELETE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_SCRIPT_TASK
- // Tcl Message: delete_bd_objs [get_bd_intf_nets read_add_one_0_axis_out] [get_bd_intf_nets ps8_0_axi_periph_M01_AXI] [get_bd_intf_nets axi_dma_0_M_AXIS_MM2S] [get_bd_cells read_add_one_0]
- selectButton(PAResourceQtoS.SystemBuilderView_ADD_IP, "System_RSB_ADD_IP"); // E (g, cs)
- setText("PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE_SEARCH_FIELD", "read"); // OverlayTextField (az, ResizableWindow)
- selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 0, "read_add_one_v1_0", 0, false); // L (J, ResizableWindow)
- expandTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 0); // L (J, ResizableWindow)
- selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 0, "read_add_one_v1_0", 0, false, false, false, false, false, true); // L (J, ResizableWindow) - Double Click
- // TclEventType: REPORT_IP_STATUS_STALE
- // bz (cs): Add IP : addNotify
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_ADD_OBJECT
- // Tcl Message: startgroup
- // Tcl Message: create_bd_cell -type ip -vlnv user.org:user:read_add_one:1.0 read_add_one_0
- // Tcl Message: endgroup
- dismissDialog("Add IP"); // bz (cs)
- // Tcl Command: 'set_property location {2 396 112} [get_bd_cells read_add_one_0]'
- // TclEventType: RSB_CANVAS_LOCATION
- // Tcl Message: set_property location {2 396 112} [get_bd_cells read_add_one_0]
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_in] [get_bd_intf_pins axi_dma_0/M_AXIS_MM2S]
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_out] [get_bd_intf_pins axi_dma_0/S_AXIS_S2MM]
- // Elapsed time: 12 seconds
- selectButton(PAResourceQtoS.RSBApplyAutomationBar_RUN_CONNECTION_AUTOMATION, "Run Connection Automation"); // h (dq, cs)
- // ai (cs): Run Connection Automation: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (ai)
- dismissDialog("Run Connection Automation"); // ai (cs)
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // bz (cs): Run Connection Automation : addNotify
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config { Clk_master {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Clk_slave {Auto} Clk_xbar {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Master {/zynq_ultra_ps_e_0/M_AXI_HPM0_FPD} Slave {/read_add_one_0/s_axi} ddr_seg {Auto} intc_ip {/ps8_0_axi_periph} master_apm {0}} [get_bd_intf_pins read_add_one_0/s_axi]
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: XGUI_RESET_GUI_ELEMENT
- // Tcl Message: Slave segment '/read_add_one_0/s_axi/reg0' is being assigned into address space '/zynq_ultra_ps_e_0/Data' at <0xA001_0000 [ 64K ]>.
- dismissDialog("Run Connection Automation"); // bz (cs)
- // Run Command: PAResourceCommand.PACommandNames_SAVE_RSB_DESIGN
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // bz (cs): Save Design : addNotify
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // Tcl Message: save_bd_design
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd> Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui>
- // Elapsed time: 11 seconds
- dismissDialog("Save Design"); // bz (cs)
- // Run Command: RDIResourceCommand.RDICommands_UNDO
- typeControlKey(null, null, 'z');
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_OBJECT
- // Tcl Message: undo
- // Tcl Message: INFO: [Common 17-17] undo 'apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config { Clk_master {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Clk_slave {Auto} Clk_xbar {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Master {/zynq_ultra_ps_e_0/M_AXI_HPM0_FPD} Slave {/read_add_one_0/s_axi} ddr_seg {Auto} intc_ip {/ps8_0_axi_periph} master_apm {0}} [get_bd_intf_pins read_add_one_0/s_axi]'
- // Run Command: RDIResourceCommand.RDICommands_UNDO
- typeControlKey(null, null, 'z');
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_OBJECT
- // Tcl Message: undo
- // Tcl Message: INFO: [Common 17-17] undo 'connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_out] [get_bd_intf_pins axi_dma_0/S_AXIS_S2MM]'
- // Run Command: RDIResourceCommand.RDICommands_UNDO
- typeControlKey(null, null, 'z');
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_OBJECT
- // Tcl Message: undo
- // Tcl Message: INFO: [Common 17-17] undo 'connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_in] [get_bd_intf_pins axi_dma_0/M_AXIS_MM2S]'
- // Elapsed time: 11 seconds
- expandTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "CONFIG ; ", 1); // l (C, cs)
- selectTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "FREQ_HZ ; 100000000", 5, "100000000", 1, false); // l (C, cs)
- selectTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "FREQ_HZ ; 100000000", 5, "100000000", 1, false); // l (C, cs)
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // Tcl Message: set_property CONFIG.FREQ_HZ 200000000 [get_bd_pins /read_add_one_0/clk]
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_in] [get_bd_intf_pins axi_dma_0/M_AXIS_MM2S]
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_out] [get_bd_intf_pins axi_dma_0/S_AXIS_S2MM]
- // Run Command: PAResourceCommand.PACommandNames_SAVE_RSB_DESIGN
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // Tcl Message: save_bd_design
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd> Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui>
- // Elapsed time: 17 seconds
- selectButton(PAResourceQtoS.RSBApplyAutomationBar_RUN_CONNECTION_AUTOMATION, "Run Connection Automation"); // h (dq, cs)
- // ai (cs): Run Connection Automation: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (ai)
- dismissDialog("Run Connection Automation"); // ai (cs)
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: XGUI_RESET_GUI_ELEMENT
- // HMemoryUtils.trashcanNow. Engine heap size: 3,513 MB. GUI used memory: 109 MB. Current time: 4/23/22, 9:37:39 PM CST
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config { Clk_master {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Clk_slave {Auto} Clk_xbar {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Master {/zynq_ultra_ps_e_0/M_AXI_HPM0_FPD} Slave {/read_add_one_0/s_axi} ddr_seg {Auto} intc_ip {/ps8_0_axi_periph} master_apm {0}} [get_bd_intf_pins read_add_one_0/s_axi]
- // Tcl Message: Slave segment '/read_add_one_0/s_axi/reg0' is being assigned into address space '/zynq_ultra_ps_e_0/Data' at <0xA001_0000 [ 64K ]>.
- selectButton(RDIResource.BaseReportTab_RERUN, "Rerun"); // h (ds, cs)
- // Tcl Command: 'report_ip_status -name ip_status '
- // TclEventType: IP_SUMMARY_RESULTS
- // Tcl Message: report_ip_status -name ip_status
- // Run Command: PAResourceCommand.PACommandNames_SAVE_RSB_DESIGN
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // Tcl Message: save_bd_design
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd> Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui>
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation, Run Implementation]", 18, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_RUN_IMPLEMENTATION
- // A (cs): Out-of-Context module run and Synthesis run are Out-of-date: addNotify
- selectButton(RDIResource.BaseDialog_YES, "Yes"); // a (A)
- // bz (cs): Resetting Runs : addNotify
- dismissDialog("Out-of-Context module run and Synthesis run are Out-of-date"); // A (cs)
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // Tcl Message: reset_run design_1_synth_1
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // Tcl Message: reset_run synth_1
- // f (cs): Launch Runs: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (f)
- // bz (cs): Starting Design Runs : addNotify
- // TclEventType: RSB_SCRIPT_TASK
- dismissDialog("Launch Runs"); // f (cs)
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // Tcl Message: launch_runs impl_1 -jobs 18
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_CLOSE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd> VHDL Output written to : /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/synth/design_1.v VHDL Output written to : /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/sim/design_1.v VHDL Output written to : /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/hdl/design_1_wrapper.v
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: Exporting to file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ip/design_1_axi_smc_0/bd_0/hw_handoff/design_1_axi_smc_0.hwh Generated Block Design Tcl file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ip/design_1_axi_smc_0/bd_0/hw_handoff/design_1_axi_smc_0_bd.tcl Generated Hardware Definition File /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ip/design_1_axi_smc_0/bd_0/synth/design_1_axi_smc_0.hwdef
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block axi_smc . INFO: [BD 41-1029] Generation completed for the IP Integrator block read_add_one_0 .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s00_couplers/auto_ds .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s00_couplers/auto_pc .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s01_couplers/auto_ds .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s01_couplers/auto_pc .
- // Tcl Message: Exporting to file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/hw_handoff/design_1.hwh Generated Block Design Tcl file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/hw_handoff/design_1_bd.tcl Generated Hardware Definition File /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/synth/design_1.hwdef
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RUN_LAUNCH
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RUN_LAUNCH
- // Elapsed time: 11 seconds
- selectButton(RDIResource.ProgressDialog_BACKGROUND, "Background"); // a (bz)
- // HMemoryUtils.trashcanNow. Engine heap size: 3,537 MB. GUI used memory: 109 MB. Current time: 4/23/22, 9:38:09 PM CST
- // TclEventType: RUN_MODIFY
- selectButton(PAResourceCommand.PACommandNames_PROJECT_SUMMARY, "project_summary"); // E (g, cs)
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_MODIFY
- // Tcl Message: [Sat Apr 23 21:38:09 2022] Launched design_1_synth_1, synth_1... Run output will be captured here: design_1_synth_1: /home/lq/dma_try/dma_try.runs/design_1_synth_1/runme.log synth_1: /home/lq/dma_try/dma_try.runs/synth_1/runme.log [Sat Apr 23 21:38:09 2022] Launched impl_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/impl_1/runme.log
- // Tcl Message: launch_runs: Time (s): cpu = 00:00:12 ; elapsed = 00:00:13 . Memory (MB): peak = 9223.328 ; gain = 0.000 ; free physical = 80032 ; free virtual = 139679
- // Run Command: PAResourceCommand.PACommandNames_PROJECT_SUMMARY
- dismissDialog("Starting Design Runs"); // bz (cs)
- // TclEventType: RUN_STATUS_CHANGE
- // Elapsed time: 10 seconds
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Package IP - read_add_one", 3); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "read_add_one.v", 2); // m (l, cs)
- // Elapsed time: 36 seconds
- selectCodeEditor("read_add_one.v", 796, 303); // bP (w, cs)
- selectButton(RDIResource.BaseReportTab_RERUN, "Rerun"); // h (ds, cs)
- // Tcl Command: 'report_ip_status -name ip_status '
- // TclEventType: IP_SUMMARY_RESULTS
- // Tcl Message: report_ip_status -name ip_status
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // Elapsed time: 139 seconds
- selectCodeEditor("read_add_one.v", 451, 208); // bP (w, cs)
- selectButton(PAResourceQtoS.SyntheticaStateMonitor_CANCEL, "Cancel"); // h (Q, cs)
- // bz (cs): Resetting Runs : addNotify
- selectButton("OptionPane.button", "Cancel Process"); // JButton (v, B)
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // Tcl Message: reset_run synth_1
- // TclEventType: RUN_FAILED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- dismissDialog("Resetting Runs"); // bz (cs)
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation, Run Implementation]", 18, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_RUN_IMPLEMENTATION
- // f (cs): Launch Runs: addNotify
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (f)
- // bz (cs): Starting Design Runs : addNotify
- dismissDialog("Launch Runs"); // f (cs)
- // TclEventType: RUN_LAUNCH
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_MODIFY
- // Tcl Message: launch_runs impl_1 -jobs 18
- // Tcl Message: [Sat Apr 23 21:41:34 2022] Launched synth_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/synth_1/runme.log [Sat Apr 23 21:41:34 2022] Launched impl_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/impl_1/runme.log
- dismissDialog("Starting Design Runs"); // bz (cs)
- // TclEventType: RUN_STATUS_CHANGE
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Package IP - read_add_one", 3); // m (l, cs)
- selectButton(PAResourceQtoS.ReviewContentPanel_RE_PACKAGE_IP, "Re-Package IP"); // a (c, cs)
- // TclEventType: PACKAGER_OBJECT_CHANGE
- // Tcl Message: set_property core_revision 2 [ipx::current_core]
- // Tcl Message: ipx::create_xgui_files [ipx::current_core]
- // Tcl Message: ipx::update_checksums [ipx::current_core]
- // Tcl Message: ipx::save_core [ipx::current_core]
- // TclEventType: CREATE_IP_CATALOG
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: CREATE_IP_CATALOG
- // bz (cs): Package IP : addNotify
- // TclEventType: CREATE_IP_CATALOG
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // Tcl Message: update_ip_catalog -rebuild -repo_path /home/lq/dma_try/dma_try.srcs/sources_1
- // Tcl Message: 0
- // CommandFailedException: ERROR: [Common 17-69] Command failed: 0
- // a (cs): Critical Messages: addNotify
- dismissDialog("Package IP"); // bz (cs)
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: FILE_SET_CHANGE
- selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK"); // f (a)
- dismissDialog("Critical Messages"); // a (cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "File Groups", 2); // ar (J, cs)
- selectButton(PAResourceItoN.MessageBanner_CHANGES_DETECTED_IN_VIVADO_PROJECT_THAT, "Merge changes from File Groups Wizard"); // h (M, cs)
- // TclEventType: PACKAGER_COMPONENT_RESET
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_OBJECT_CHANGE
- // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'ipx::merge_project_changes' failed due to earlier errors.
- /*
- #--------------------------------------------------------------------------
- # Xilinx Vivado v2020.1 (64-bit)
- # SW Build: 2902540 on Wed May 27 19:54:35 MDT 2020
- # IP Build: 2902112 on Wed May 27 22:43:36 MDT 2020
- # Current time: Sat Apr 23 21:41:45 CST 2022
- # Process ID (PID): 32673
- # OS: Ubuntu
- # User: lq
- #
- # This file is an indication that an internal application error occurred.
- # This information is useful for debugging. Please open a case with Xilinx.
- # Technical Support with this file and a testcase attached.
- #--------------------------------------------------------------------------
- ui.frmwork.CommandFailedException: ERROR: [Common 17-39] 'ipx::merge_project_changes' failed due to earlier errors.
- (See /home/lq/dma_try/vivado_pid32673.debug)
- */
- // Tcl Message: ipx::merge_project_changes files [ipx::current_core]
- // Tcl Message: ERROR: [Common 17-39] 'ipx::merge_project_changes' failed due to earlier errors.
- // HOptionPane Error: 'ERROR: [Common 17-39] 'ipx::merge_project_changes' failed due to earlier errors. (Vivado v2020.1 (64-bit))'
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- selectButton(PAResourceQtoS.SyntheticaStateMonitor_CANCEL, "Cancel"); // h (Q, cs)
- // bz (cs): Resetting Runs : addNotify
- selectButton("OptionPane.button", "Cancel Process"); // JButton (v, B)
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_RESET
- // Tcl Message: reset_run synth_1
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- dismissDialog("Resetting Runs"); // bz (cs)
- selectButton(PAResourceOtoP.PackagerStepContentPanel_MESSAGES, "2"); // h (k, cs)
- selectButton(PAResourceEtoH.FileGroupFacetTable_REFRESH, (String) null); // E (g, cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Customization Parameters", 3); // ar (J, cs)
- selectButton(PAResourceItoN.MessageBanner_CHANGES_DETECTED_IN_VIVADO_PROJECT_THAT, "Merge changes from Customization Parameters Wizard"); // h (M, cs)
- // TclEventType: PACKAGER_COMPONENT_RESET
- // [GUI Memory]: 185 MB (+307kb) [03:34:41]
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_OBJECT_CHANGE
- // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'ipx::merge_project_changes' failed due to earlier errors.
- /*
- #--------------------------------------------------------------------------
- # Xilinx Vivado v2020.1 (64-bit)
- # SW Build: 2902540 on Wed May 27 19:54:35 MDT 2020
- # IP Build: 2902112 on Wed May 27 22:43:36 MDT 2020
- # Current time: Sat Apr 23 21:42:00 CST 2022
- # Process ID (PID): 32673
- # OS: Ubuntu
- # User: lq
- #
- # This file is an indication that an internal application error occurred.
- # This information is useful for debugging. Please open a case with Xilinx.
- # Technical Support with this file and a testcase attached.
- #--------------------------------------------------------------------------
- ui.frmwork.CommandFailedException: ERROR: [Common 17-39] 'ipx::merge_project_changes' failed due to earlier errors.
- (See /home/lq/dma_try/vivado_pid32673.debug)
- */
- // Tcl Message: ipx::merge_project_changes hdl_parameters [ipx::current_core]
- // Tcl Message: INFO: [IP_Flow 7-560] INFO: [IP_Flow 7-560]
- // Tcl Message: ERROR: [Common 17-39] 'ipx::merge_project_changes' failed due to earlier errors.
- // HOptionPane Error: 'ERROR: [Common 17-39] 'ipx::merge_project_changes' failed due to earlier errors. (Vivado v2020.1 (64-bit))'
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- closeView(PAResourceOtoP.PAViews_PACKAGE_IP, "Package IP - read_add_one"); // ac (m, cs)
- // TclEventType: CREATE_IP_CATALOG
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: IP_LOCK_CHANGE
- // TclEventType: CREATE_IP_CATALOG
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'update_ip_catalog' failed due to earlier errors.
- /*
- #--------------------------------------------------------------------------
- # Xilinx Vivado v2020.1 (64-bit)
- # SW Build: 2902540 on Wed May 27 19:54:35 MDT 2020
- # IP Build: 2902112 on Wed May 27 22:43:36 MDT 2020
- # Current time: Sat Apr 23 21:42:04 CST 2022
- # Process ID (PID): 32673
- # OS: Ubuntu
- # User: lq
- #
- # This file is an indication that an internal application error occurred.
- # This information is useful for debugging. Please open a case with Xilinx.
- # Technical Support with this file and a testcase attached.
- #--------------------------------------------------------------------------
- ui.frmwork.CommandFailedException: ERROR: [Common 17-39] 'update_ip_catalog' failed due to earlier errors.
- (See /home/lq/dma_try/vivado_pid32673.debug)
- */
- selectButton("OptionPane.button", "Yes"); // JButton (v, B)
- // Tcl Message: ipx::create_xgui_files [ipx::current_core]
- // Tcl Message: ipx::update_checksums [ipx::current_core]
- // Tcl Message: ipx::save_core [ipx::current_core]
- // Tcl Message: update_ip_catalog -rebuild -repo_path /home/lq/dma_try/dma_try.srcs/sources_1
- // Tcl Message: ERROR: [Common 17-39] 'update_ip_catalog' failed due to earlier errors.
- // HOptionPane Error: 'ERROR: [Common 17-39] 'update_ip_catalog' failed due to earlier errors. (Vivado v2020.1 (64-bit))'
- // TclEventType: FILE_SET_CHANGE
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- // TclEventType: PACKAGER_UNLOAD_CORE
- // [GUI Memory]: 195 MB (+1299kb) [03:34:50]
- closeView(PAResourceOtoP.PAViews_PACKAGE_IP, "Package IP - read_add_one"); // ac
- closeView(PAResourceOtoP.PAViews_PACKAGE_IP, "Package IP - read_add_one"); // ac
- // Tcl Message: ipx::unload_core /home/lq/dma_try/dma_try.srcs/sources_1/component.xml
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, read_add_one (read_add_one.v)]", 5, false); // B (F, cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, read_add_one (read_add_one.v)]", 5, false, false, false, false, true, false); // B (F, cs) - Popup Trigger
- selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // af (ao, cs)
- selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // af (ao, cs)
- selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ai (ao, cs)
- // Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: FILE_SET_OPTIONS_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // Tcl Message: set_property top read_add_one [current_fileset]
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: update_compile_order -fileset sources_1
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, IP Integrator, Generate Block Design]", 9, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_GENERATE_RSB_DESIGN
- // aI (cs): Generate Output Products: addNotify
- dismissDialog("Generate Output Products"); // aI (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_FLOW, "Flow"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_FLOW, "Flow"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_EDIT, "Edit"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_EDIT, "Edit"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_EDIT, "Edit"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_EDIT, "Edit"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_FLOW, "Flow"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_FLOW, "Flow"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_EDIT, "Edit"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_EDIT, "Edit"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_REPORTS, "Reports"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_REPORTS, "Reports"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_WINDOW, "Window"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_WINDOW, "Window"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_REPORTS, "Reports"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_REPORTS, "Reports"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_FLOW, "Flow"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_FLOW, "Flow"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_EDIT, "Edit"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_EDIT, "Edit"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_FILE, "File"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_EDIT, "Edit"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_EDIT, "Edit"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_FLOW, "Flow"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_FLOW, "Flow"); // aa (q, cs)
- selectMenu(RDIResource.MainWinMenuMgr_LAYOUT, "Layout"); // aa (q, cs)
- dismissMenu(RDIResource.MainWinMenuMgr_LAYOUT, "Layout"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_REPORTS, "Reports"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_REPORTS, "Reports"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_REPORTS, "Reports"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_REPORTS, "Reports"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_REPORTS, "Reports"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_REPORTS, "Reports"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- // Elapsed time: 37 seconds
- selectMenu(RDIResourceCommand.RDICommands_CUSTOM_COMMANDS, "Custom Commands"); // af (cs)
- selectMenuItem(PAResourceCommand.PACommandNames_IP_PACKAGER_WIZARD, "Create and Package New IP..."); // ai (cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- // Run Command: PAResourceCommand.PACommandNames_IP_PACKAGER_WIZARD
- // Q (cs): Create and Package New IP: addNotify
- selectButton("NEXT", "Next >"); // JButton (j, Q)
- selectRadioButton(PAResourceItoN.NewIpWizard_PACKAGE_YOUR_CURRENT_PROJECT_USE, "Package your current project. Use the project as the source for creating a new IP Definition."); // b (a, Q)
- // bz (Q): Analyze source files for top modules : addNotify
- selectButton("NEXT", "Next >"); // JButton (j, Q)
- dismissDialog("Analyze source files for top modules"); // bz (Q)
- selectButton("NEXT", "Next >"); // JButton (j, Q)
- selectButton("OptionPane.button", "Overwrite"); // JButton (v, B)
- selectButton("FINISH", "Finish"); // JButton (j, Q)
- // 'q' command handler elapsed time: 7 seconds
- // TclEventType: DG_GRAPH_STALE
- dismissDialog("Create and Package New IP"); // Q (cs)
- // TclEventType: FILE_SET_CHANGE
- // bz (cs): IP Packager : addNotify
- // Tcl Message: ipx::package_project -root_dir /home/lq/dma_try/dma_try.srcs/sources_1 -vendor user.org -library user -taxonomy /UserIP -force
- // TclEventType: PACKAGER_OBJECT_ADD
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PACKAGER_CURRENT_CORE
- // Run Command: PAResourceCommand.PACommandNames_IP_PACKAGER
- // [Engine Memory]: 3,562 MB (+2746kb) [03:36:12]
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- dismissDialog("IP Packager"); // bz (cs)
- // [GUI Memory]: 208 MB (+3440kb) [03:36:14]
- // HMemoryUtils.trashcanNow. Engine heap size: 3,584 MB. GUI used memory: 121 MB. Current time: 4/23/22, 9:43:34 PM CST
- // Elapsed time: 36 seconds
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Review and Package", 7); // ar (J, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Project Summary", 3); // m (l, cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v)]", 2, true); // B (F, cs) - Node
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v)]", 2, true, false, false, false, true, false); // B (F, cs) - Popup Trigger - Node
- selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // af (ao, cs)
- selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // af (ao, cs)
- selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ai (ao, cs)
- // Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: FILE_SET_OPTIONS_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // Tcl Message: set_property top design_1_wrapper [current_fileset]
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: update_compile_order -fileset sources_1
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "read_add_one.v", 2); // m (l, cs)
- selectCodeEditor("read_add_one.v", 344, 332); // bP (w, cs)
- // HMemoryUtils.trashcanNow. Engine heap size: 3,611 MB. GUI used memory: 121 MB. Current time: 4/23/22, 9:44:24 PM CST
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Package IP - read_add_one", 4); // m (l, cs)
- selectButton(PAResourceQtoS.ReviewContentPanel_RE_PACKAGE_IP, "Re-Package IP"); // a (c, cs)
- // TclEventType: PACKAGER_OBJECT_CHANGE
- // Tcl Message: set_property core_revision 2 [ipx::current_core]
- // Tcl Message: ipx::create_xgui_files [ipx::current_core]
- // Tcl Message: ipx::update_checksums [ipx::current_core]
- // Tcl Message: ipx::save_core [ipx::current_core]
- // TclEventType: CREATE_IP_CATALOG
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // bz (cs): Package IP : addNotify
- // TclEventType: CREATE_IP_CATALOG
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // Tcl Message: update_ip_catalog -rebuild -repo_path /home/lq/dma_try/dma_try.srcs/sources_1
- // Tcl Message: 0
- // CommandFailedException: ERROR: [Common 17-69] Command failed: 0
- // a (cs): Critical Messages: addNotify
- dismissDialog("Package IP"); // bz (cs)
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: FILE_SET_CHANGE
- selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK"); // f (a)
- dismissDialog("Critical Messages"); // a (cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "File Groups", 2); // ar (J, cs)
- selectButton(PAResourceItoN.MessageBanner_CHANGES_DETECTED_IN_VIVADO_PROJECT_THAT, "Merge changes from File Groups Wizard"); // h (M, cs)
- // TclEventType: PACKAGER_COMPONENT_RESET
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_OBJECT_CHANGE
- // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'ipx::merge_project_changes' failed due to earlier errors.
- /*
- #--------------------------------------------------------------------------
- # Xilinx Vivado v2020.1 (64-bit)
- # SW Build: 2902540 on Wed May 27 19:54:35 MDT 2020
- # IP Build: 2902112 on Wed May 27 22:43:36 MDT 2020
- # Current time: Sat Apr 23 21:44:36 CST 2022
- # Process ID (PID): 32673
- # OS: Ubuntu
- # User: lq
- #
- # This file is an indication that an internal application error occurred.
- # This information is useful for debugging. Please open a case with Xilinx.
- # Technical Support with this file and a testcase attached.
- #--------------------------------------------------------------------------
- ui.frmwork.CommandFailedException: ERROR: [Common 17-39] 'ipx::merge_project_changes' failed due to earlier errors.
- (See /home/lq/dma_try/vivado_pid32673.debug)
- */
- // Tcl Message: ipx::merge_project_changes files [ipx::current_core]
- // Tcl Message: ERROR: [Common 17-39] 'ipx::merge_project_changes' failed due to earlier errors.
- // HOptionPane Error: 'ERROR: [Common 17-39] 'ipx::merge_project_changes' failed due to earlier errors. (Vivado v2020.1 (64-bit))'
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Customization Parameters", 3); // ar (J, cs)
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- selectButton(PAResourceItoN.MessageBanner_CHANGES_DETECTED_IN_VIVADO_PROJECT_THAT, "Merge changes from Customization Parameters Wizard"); // h (M, cs)
- // TclEventType: PACKAGER_COMPONENT_RESET
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_OBJECT_CHANGE
- // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'ipx::merge_project_changes' failed due to earlier errors.
- /*
- #--------------------------------------------------------------------------
- # Xilinx Vivado v2020.1 (64-bit)
- # SW Build: 2902540 on Wed May 27 19:54:35 MDT 2020
- # IP Build: 2902112 on Wed May 27 22:43:36 MDT 2020
- # Current time: Sat Apr 23 21:44:38 CST 2022
- # Process ID (PID): 32673
- # OS: Ubuntu
- # User: lq
- #
- # This file is an indication that an internal application error occurred.
- # This information is useful for debugging. Please open a case with Xilinx.
- # Technical Support with this file and a testcase attached.
- #--------------------------------------------------------------------------
- ui.frmwork.CommandFailedException: ERROR: [Common 17-39] 'ipx::merge_project_changes' failed due to earlier errors.
- (See /home/lq/dma_try/vivado_pid32673.debug)
- */
- // Tcl Message: ipx::merge_project_changes hdl_parameters [ipx::current_core]
- // Tcl Message: INFO: [IP_Flow 7-560] INFO: [IP_Flow 7-560]
- // Tcl Message: ERROR: [Common 17-39] 'ipx::merge_project_changes' failed due to earlier errors.
- // HOptionPane Error: 'ERROR: [Common 17-39] 'ipx::merge_project_changes' failed due to earlier errors. (Vivado v2020.1 (64-bit))'
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- closeView(PAResourceOtoP.PAViews_PACKAGE_IP, "Package IP - read_add_one"); // ac (m, cs)
- // TclEventType: CREATE_IP_CATALOG
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: CREATE_IP_CATALOG
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'update_ip_catalog' failed due to earlier errors.
- /*
- #--------------------------------------------------------------------------
- # Xilinx Vivado v2020.1 (64-bit)
- # SW Build: 2902540 on Wed May 27 19:54:35 MDT 2020
- # IP Build: 2902112 on Wed May 27 22:43:36 MDT 2020
- # Current time: Sat Apr 23 21:44:43 CST 2022
- # Process ID (PID): 32673
- # OS: Ubuntu
- # User: lq
- #
- # This file is an indication that an internal application error occurred.
- # This information is useful for debugging. Please open a case with Xilinx.
- # Technical Support with this file and a testcase attached.
- #--------------------------------------------------------------------------
- ui.frmwork.CommandFailedException: ERROR: [Common 17-39] 'update_ip_catalog' failed due to earlier errors.
- (See /home/lq/dma_try/vivado_pid32673.debug)
- */
- selectButton("OptionPane.button", "Yes"); // JButton (v, B)
- // Tcl Message: ipx::create_xgui_files [ipx::current_core]
- // Tcl Message: ipx::update_checksums [ipx::current_core]
- // Tcl Message: ipx::save_core [ipx::current_core]
- // Tcl Message: update_ip_catalog -rebuild -repo_path /home/lq/dma_try/dma_try.srcs/sources_1
- // Tcl Message: ERROR: [Common 17-39] 'update_ip_catalog' failed due to earlier errors.
- // HOptionPane Error: 'ERROR: [Common 17-39] 'update_ip_catalog' failed due to earlier errors. (Vivado v2020.1 (64-bit))'
- // TclEventType: FILE_SET_CHANGE
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, read_add_one (read_add_one.v)]", 5, false); // B (F, cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, read_add_one (read_add_one.v)]", 5, false, false, false, false, true, false); // B (F, cs) - Popup Trigger
- selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // af (ao, cs)
- selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // af (ao, cs)
- selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ai (ao, cs)
- // Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: FILE_SET_OPTIONS_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // Tcl Message: set_property top read_add_one [current_fileset]
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: update_compile_order -fileset sources_1
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Customization Parameters", 3); // ar (J, cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "File Groups", 2); // ar (J, cs)
- selectButton(PAResourceItoN.MessageBanner_CHANGES_DETECTED_IN_VIVADO_PROJECT_THAT, "Merge changes from File Groups Wizard"); // h (M, cs)
- // TclEventType: PACKAGER_COMPONENT_RESET
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- /*
- #--------------------------------------------------------------------------
- # Xilinx Vivado v2020.1 (64-bit)
- # SW Build: 2902540 on Wed May 27 19:54:35 MDT 2020
- # IP Build: 2902112 on Wed May 27 22:43:36 MDT 2020
- # Current time: Sat Apr 23 21:44:55 CST 2022
- # Process ID (PID): 32673
- # OS: Ubuntu
- # User: lq
- #
- # This file is an indication that an internal application error occurred.
- # This information is useful for debugging. Please open a case with Xilinx.
- # Technical Support with this file and a testcase attached.
- #--------------------------------------------------------------------------
- java.nio.file.ClosedWatchServiceException (See /home/lq/dma_try/vivado_pid32673.debug)
- */
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_OBJECT_CHANGE
- // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'ipx::merge_project_changes' failed due to earlier errors.
- /*
- #--------------------------------------------------------------------------
- # Xilinx Vivado v2020.1 (64-bit)
- # SW Build: 2902540 on Wed May 27 19:54:35 MDT 2020
- # IP Build: 2902112 on Wed May 27 22:43:36 MDT 2020
- # Current time: Sat Apr 23 21:44:55 CST 2022
- # Process ID (PID): 32673
- # OS: Ubuntu
- # User: lq
- #
- # This file is an indication that an internal application error occurred.
- # This information is useful for debugging. Please open a case with Xilinx.
- # Technical Support with this file and a testcase attached.
- #--------------------------------------------------------------------------
- ui.frmwork.CommandFailedException: ERROR: [Common 17-39] 'ipx::merge_project_changes' failed due to earlier errors.
- (See /home/lq/dma_try/vivado_pid32673.debug)
- */
- // WARNING: HEventQueue.dispatchEvent() is taking 1247 ms.
- // Tcl Message: ipx::merge_project_changes files [ipx::current_core]
- // Tcl Message: ERROR: [Vivado 12-106] *** Exception: java.nio.file.ClosedWatchServiceException (See /home/lq/dma_try/vivado_pid32673.debug)
- // Tcl Message: ERROR: [Common 17-39] 'ipx::merge_project_changes' failed due to earlier errors.
- // HOptionPane Error: 'ERROR: [Common 17-39] 'ipx::merge_project_changes' failed due to earlier errors. (Vivado v2020.1 (64-bit))'
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- selectButton(RDIResource.HExceptionDialog_CONTINUE, "Continue"); // a (ah, ad)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Customization Parameters", 3); // ar (J, cs)
- selectButton(PAResourceItoN.MessageBanner_CHANGES_DETECTED_IN_VIVADO_PROJECT_THAT, "Merge changes from Customization Parameters Wizard"); // h (M, cs)
- // TclEventType: PACKAGER_COMPONENT_RESET
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- /*
- #--------------------------------------------------------------------------
- # Xilinx Vivado v2020.1 (64-bit)
- # SW Build: 2902540 on Wed May 27 19:54:35 MDT 2020
- # IP Build: 2902112 on Wed May 27 22:43:36 MDT 2020
- # Current time: Sat Apr 23 21:45:04 CST 2022
- # Process ID (PID): 32673
- # OS: Ubuntu
- # User: lq
- #
- # This file is an indication that an internal application error occurred.
- # This information is useful for debugging. Please open a case with Xilinx.
- # Technical Support with this file and a testcase attached.
- #--------------------------------------------------------------------------
- java.nio.file.ClosedWatchServiceException (See /home/lq/dma_try/vivado_pid32673.debug)
- */
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_OBJECT_CHANGE
- // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'ipx::merge_project_changes' failed due to earlier errors.
- /*
- #--------------------------------------------------------------------------
- # Xilinx Vivado v2020.1 (64-bit)
- # SW Build: 2902540 on Wed May 27 19:54:35 MDT 2020
- # IP Build: 2902112 on Wed May 27 22:43:36 MDT 2020
- # Current time: Sat Apr 23 21:45:04 CST 2022
- # Process ID (PID): 32673
- # OS: Ubuntu
- # User: lq
- #
- # This file is an indication that an internal application error occurred.
- # This information is useful for debugging. Please open a case with Xilinx.
- # Technical Support with this file and a testcase attached.
- #--------------------------------------------------------------------------
- ui.frmwork.CommandFailedException: ERROR: [Common 17-39] 'ipx::merge_project_changes' failed due to earlier errors.
- (See /home/lq/dma_try/vivado_pid32673.debug)
- */
- // Tcl Message: ipx::merge_project_changes hdl_parameters [ipx::current_core]
- // Tcl Message: INFO: [IP_Flow 7-560] INFO: [IP_Flow 7-560] INFO: [IP_Flow 19-3166] Bus Interface 's_axi': References existing memory map 's_axi'.
- // Tcl Message: ERROR: [Vivado 12-106] *** Exception: java.nio.file.ClosedWatchServiceException (See /home/lq/dma_try/vivado_pid32673.debug)
- // Tcl Message: ERROR: [Common 17-39] 'ipx::merge_project_changes' failed due to earlier errors.
- // HOptionPane Error: 'ERROR: [Common 17-39] 'ipx::merge_project_changes' failed due to earlier errors. (Vivado v2020.1 (64-bit))'
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- closeView(PAResourceOtoP.PAViews_PACKAGE_IP, "Package IP - read_add_one"); // ac (m, cs)
- // TclEventType: CREATE_IP_CATALOG
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: CREATE_IP_CATALOG
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'update_ip_catalog' failed due to earlier errors.
- /*
- #--------------------------------------------------------------------------
- # Xilinx Vivado v2020.1 (64-bit)
- # SW Build: 2902540 on Wed May 27 19:54:35 MDT 2020
- # IP Build: 2902112 on Wed May 27 22:43:36 MDT 2020
- # Current time: Sat Apr 23 21:45:10 CST 2022
- # Process ID (PID): 32673
- # OS: Ubuntu
- # User: lq
- #
- # This file is an indication that an internal application error occurred.
- # This information is useful for debugging. Please open a case with Xilinx.
- # Technical Support with this file and a testcase attached.
- #--------------------------------------------------------------------------
- ui.frmwork.CommandFailedException: ERROR: [Common 17-39] 'update_ip_catalog' failed due to earlier errors.
- (See /home/lq/dma_try/vivado_pid32673.debug)
- */
- selectButton("OptionPane.button", "Yes"); // JButton (v, B)
- // Tcl Message: ipx::create_xgui_files [ipx::current_core]
- // Tcl Message: ipx::update_checksums [ipx::current_core]
- /*
- #--------------------------------------------------------------------------
- # Xilinx Vivado v2020.1 (64-bit)
- # SW Build: 2902540 on Wed May 27 19:54:35 MDT 2020
- # IP Build: 2902112 on Wed May 27 22:43:36 MDT 2020
- # Current time: Sat Apr 23 21:45:10 CST 2022
- # Process ID (PID): 32673
- # OS: Ubuntu
- # User: lq
- #
- # This file is an indication that an internal application error occurred.
- # This information is useful for debugging. Please open a case with Xilinx.
- # Technical Support with this file and a testcase attached.
- #--------------------------------------------------------------------------
- java.nio.file.ClosedWatchServiceException (See /home/lq/dma_try/vivado_pid32673.debug)
- */
- // Tcl Message: ipx::save_core [ipx::current_core]
- // Tcl Message: update_ip_catalog -rebuild -repo_path /home/lq/dma_try/dma_try.srcs/sources_1
- // Tcl Message: ERROR: [Common 17-39] 'update_ip_catalog' failed due to earlier errors.
- // HOptionPane Error: 'ERROR: [Common 17-39] 'update_ip_catalog' failed due to earlier errors. (Vivado v2020.1 (64-bit))'
- // TclEventType: FILE_SET_CHANGE
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- selectMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- selectMenuItem(PAResourceCommand.PACommandNames_IP_PACKAGER_WIZARD, "Create and Package New IP..."); // ai (cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- // Run Command: PAResourceCommand.PACommandNames_IP_PACKAGER_WIZARD
- // Q (cs): Create and Package New IP: addNotify
- selectButton("NEXT", "Next >"); // JButton (j, Q)
- selectRadioButton(PAResourceItoN.NewIpWizard_PACKAGE_YOUR_CURRENT_PROJECT_USE, "Package your current project. Use the project as the source for creating a new IP Definition."); // b (a, Q)
- // bz (Q): Analyze source files for top modules : addNotify
- selectButton("NEXT", "Next >"); // JButton (j, Q)
- dismissDialog("Analyze source files for top modules"); // bz (Q)
- selectButton("NEXT", "Next >"); // JButton (j, Q)
- selectButton("OptionPane.button", "Overwrite"); // JButton (v, B)
- selectButton("FINISH", "Finish"); // JButton (j, Q)
- // 'q' command handler elapsed time: 8 seconds
- // TclEventType: PACKAGER_UNLOAD_CORE
- dismissDialog("Create and Package New IP"); // Q (cs)
- // TclEventType: DG_GRAPH_STALE
- // Tcl Message: ipx::package_project -root_dir /home/lq/dma_try/dma_try.srcs/sources_1 -vendor user.org -library user -taxonomy /UserIP -force
- closeView(PAResourceOtoP.PAViews_PACKAGE_IP, "Package IP - read_add_one"); // ac
- // TclEventType: DG_GRAPH_STALE
- // bz (cs): IP Packager : addNotify
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PACKAGER_OBJECT_ADD
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PACKAGER_CURRENT_CORE
- // Run Command: PAResourceCommand.PACommandNames_IP_PACKAGER
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- dismissDialog("IP Packager"); // bz (cs)
- // HMemoryUtils.trashcanNow. Engine heap size: 3,653 MB. GUI used memory: 137 MB. Current time: 4/23/22, 9:45:34 PM CST
- // Elapsed time: 15 seconds
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Review and Package", 7); // ar (J, cs)
- selectButton(PAResourceQtoS.ReviewContentPanel_RE_PACKAGE_IP, "Re-Package IP"); // a (c, cs)
- // TclEventType: PACKAGER_OBJECT_CHANGE
- // Tcl Message: set_property core_revision 2 [ipx::current_core]
- // Tcl Message: ipx::create_xgui_files [ipx::current_core]
- // Tcl Message: ipx::update_checksums [ipx::current_core]
- // Tcl Message: ipx::save_core [ipx::current_core]
- // TclEventType: CREATE_IP_CATALOG
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // bz (cs): Package IP : addNotify
- // Tcl Message: update_ip_catalog -rebuild -repo_path /home/lq/dma_try/dma_try.srcs/sources_1
- // TclEventType: CREATE_IP_CATALOG
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // Tcl Message: 0
- // CommandFailedException: ERROR: [Common 17-69] Command failed: 0
- // a (cs): Critical Messages: addNotify
- dismissDialog("Package IP"); // bz (cs)
- selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK"); // f (a)
- dismissDialog("Critical Messages"); // a (cs)
- // TclEventType: FILE_SET_CHANGE
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "System", 0); // m (l, cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v)]", 2, true); // B (F, cs) - Node
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v)]", 2, true, false, false, false, true, false); // B (F, cs) - Popup Trigger - Node
- selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // af (ao, cs)
- selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // af (ao, cs)
- selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // af (ao, cs)
- selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ai (ao, cs)
- // Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: FILE_SET_OPTIONS_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // Tcl Message: set_property top design_1_wrapper [current_fileset]
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: update_compile_order -fileset sources_1
- // HMemoryUtils.trashcanNow. Engine heap size: 3,678 MB. GUI used memory: 138 MB. Current time: 4/23/22, 9:46:04 PM CST
- // Elapsed time: 21 seconds
- expandTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "CONFIG ; ", 1); // l (C, cs)
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 21, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
- // A (cs): No Implementation Results Available: addNotify
- selectButton(RDIResource.BaseDialog_YES, "Yes"); // a (A)
- // bz (cs): Resetting Runs : addNotify
- // f (cs): Launch Runs: addNotify
- dismissDialog("No Implementation Results Available"); // A (cs)
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (f)
- // 'cD' command handler elapsed time: 3 seconds
- // TclEventType: RUN_LAUNCH
- dismissDialog("Launch Runs"); // f (cs)
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_MODIFY
- // Tcl Message: launch_runs impl_1 -to_step write_bitstream -jobs 18
- // Tcl Message: [Sat Apr 23 21:46:23 2022] Launched synth_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/synth_1/runme.log [Sat Apr 23 21:46:23 2022] Launched impl_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/impl_1/runme.log
- // TclEventType: RUN_STATUS_CHANGE
- // Elapsed time: 45 seconds
- selectButton(PAResourceItoN.MsgView_CLEAR_MESSAGES_RESULTING_FROM_USER_EXECUTED, "Messages_cleanUpMessages"); // E (g, cs)
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // ag (cs): Bitstream Generation Completed: addNotify
- // Elapsed time: 198 seconds
- dismissDialog("Bitstream Generation Completed"); // ag (cs)
- // Elapsed time: 498 seconds
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "read_add_one.v", 2); // m (l, cs)
- // Elapsed time: 10 seconds
- selectCodeEditor("read_add_one.v", 159, 143); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 158, 143, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 156, 138); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 155, 138, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 153, 194); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 153, 192, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 174, 178); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 178, 158); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 178, 158, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 177, 35); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 177, 35, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 136, 36); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 136, 36, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 151, 81); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 151, 81, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 177, 86); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 177, 87, false, false, false, false, true); // bP (w, cs) - Double Click
- // Elapsed time: 17 seconds
- selectCodeEditor("read_add_one.v", 157, 144); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- // Elapsed time: 70 seconds
- selectCodeEditor("read_add_one.v", 212, 177); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 212, 177, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 205, 145); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 205, 145, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 177, 41); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 177, 41, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 180, 78); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 180, 78, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 73, 190); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 77, 196); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- setText(RDIResource.HCodeEditor_SEARCH_TEXT_COMBO_BOX, "s_axi_bresp"); // l (au, cs)
- selectCodeEditor("read_add_one.v", 54, 211); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 60, 193); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 69, 206); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 135, 197); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 135, 197, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 69, 351); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 62, 206); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 67, 352); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 67, 352, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 141, 201); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 145, 351); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 133, 354); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 139, 354); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 260, 359); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 265, 358); // bP (w, cs)
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // HMemoryUtils.trashcanNow. Engine heap size: 3,698 MB. GUI used memory: 141 MB. Current time: 4/23/22, 10:02:09 PM CST
- // Elapsed time: 113 seconds
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Address Editor", 1); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "System", 0); // m (l, cs)
- selectButton(PAResourceQtoS.SystemBuilderView_ADD_IP, "System_RSB_ADD_IP"); // E (g, cs)
- selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "atss_0325_loss_v1_0", 0, "atss_0325_loss_v1_0", 0, false); // L (J, ResizableWindow)
- expandTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "atss_0325_loss_v1_0", 0); // L (J, ResizableWindow)
- selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "atss_0325_loss_v1_0", 0, "atss_0325_loss_v1_0", 0, false, false, false, false, false, true); // L (J, ResizableWindow) - Double Click
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_ADD_OBJECT
- // Tcl Message: startgroup
- // Tcl Message: create_bd_cell -type ip -vlnv user.org:user:atss_0325_loss:1.0 atss_0325_loss_0
- // Tcl Message: ERROR: [BD 41-69] Error sourcing TCL script: while executing "source -notrace {/home/lq/dma_try/bd/bd.tcl} " (in namespace eval "::user.org_user_atss_0325_loss_1.0" script line 1) invoked from within "namespace eval ::user.org_user_atss_0325_loss_1.0 { source -notrace {/home/lq/dma_try/bd/bd.tcl} }"
- // Tcl Message: ERROR: [BD 41-69] Error sourcing TCL script: while executing "source -notrace {/home/lq/dma_try/bd/bd.tcl} " (in namespace eval "::user.org_user_atss_0325_loss_1.0" script line 1) invoked from within "namespace eval ::user.org_user_atss_0325_loss_1.0 { source -notrace {/home/lq/dma_try/bd/bd.tcl} }"
- // Tcl Message: ERROR: [Common 17-39] 'create_bd_cell' failed due to earlier errors.
- // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'create_bd_cell' failed due to earlier errors.
- // bz (cs): Add IP : addNotify
- // Tcl Message: endgroup
- dismissDialog("Add IP"); // bz (cs)
- selectButton(PAResourceQtoS.SystemBuilderView_ADD_IP, "System_RSB_ADD_IP"); // E (g, cs)
- setText("PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE_SEARCH_FIELD", (String) null); // OverlayTextField (az)
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Project Manager, IP Catalog]", 4, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_CORE_GEN
- selectTreeTableHeader(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "Name", 0); // L (J, cs)
- selectTreeTableHeader(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "Name", 0); // L (J, cs)
- selectTreeTableHeader(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "Name", 0, false, false, false, false, true); // L (J, cs) - Double Click
- selectTreeTableHeader(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "Name", 0); // L (J, cs)
- closeView(PAResourceOtoP.PAViews_IP_CATALOG, "IP Catalog"); // W
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Project Summary", 3); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Package IP - read_add_one", 4); // m (l, cs)
- // TclEventType: PACKAGER_UNLOAD_CORE
- closeView(PAResourceOtoP.PAViews_PACKAGE_IP, "Package IP - read_add_one"); // ac
- closeView(PAResourceOtoP.PAViews_PACKAGE_IP, "Package IP - read_add_one"); // ac
- // Tcl Message: ipx::unload_core /home/lq/dma_try/dma_try.srcs/sources_1/component.xml
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "read_add_one.v", 2); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Address Editor", 1); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "System", 0); // m (l, cs)
- // Tcl Command: 'set_property location {2 957 93} [get_bd_cells atss_0325_loss_0]'
- // TclEventType: RSB_CANVAS_LOCATION
- // Tcl Message: set_property location {2 957 93} [get_bd_cells atss_0325_loss_0]
- // Elapsed time: 160 seconds
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "read_add_one.v", 2); // m (l, cs)
- // Elapsed time: 13 seconds
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Address Editor", 1); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Project Summary", 3); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "read_add_one.v", 2); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "System", 0); // m (l, cs)
- // Run Command: PAResourceCommand.PACommandNames_CUSTOMIZE_RSB_BLOC
- // r (cs): Re-customize IP: addNotify
- // Elapsed time: 646 seconds
- selectButton(PAResourceAtoD.CustomizeCoreDialog_IP_LOCATION, "IP Location"); // E (g, r)
- selectButton(PAResourceAtoD.CustomizeCoreDialog_DOCUMENTATION, "Documentation"); // E (g, r)
- selectMenuItem(PAResourceCommand.PACommandNames_SHOW_PRODUCT_GUIDE, "Product Guide"); // ai (ao, r)
- // Run Command: PAResourceCommand.PACommandNames_SHOW_PRODUCT_GUIDE
- // HOptionPane Error: 'Default HTML viewers not found in path (Product Guide)'
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- dismissDialog("Re-customize IP"); // r (cs)
- // Run Command: RDIResourceCommand.RDICommands_DELETE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // Tcl Message: delete_bd_objs [get_bd_cells atss_0325_loss_0]
- // Elapsed time: 325 seconds
- selectButton(PAResourceQtoS.SystemTab_UPGRADE_LATER, "Upgrade Later"); // h (ds, cs)
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "read_add_one.v", 2); // m (l, cs)
- // HMemoryUtils.trashcanNow. Engine heap size: 3,707 MB. GUI used memory: 142 MB. Current time: 4/23/22, 10:32:09 PM CST
- // Elapsed time: 721 seconds
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Address Editor", 1); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "System", 0); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "read_add_one.v", 2); // m (l, cs)
- // WARNING: HEventQueue.dispatchEvent() is taking 1072 ms.
- selectCodeEditor("read_add_one.v", 296, 200); // bP (w, cs)
- // Elapsed time: 28 seconds
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Project Summary", 3); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "read_add_one.v", 2); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Address Editor", 1); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "System", 0); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Address Editor", 1); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "read_add_one.v", 2); // m (l, cs)
- selectCodeEditor("read_add_one.v", 243, 257); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 267, 142); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 274, 145); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 133, 159); // bP (w, cs)
- typeControlKey(null, null, 'z');
- selectCodeEditor("read_add_one.v", 187, 92); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 187, 92, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 195, 159); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 195, 159, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 162, 250); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 162, 250, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 173, 307); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 173, 307, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 201, 309); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- typeControlKey(null, null, 'z');
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- // Elapsed time: 36 seconds
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Address Editor", 1); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "System", 0); // m (l, cs)
- // Run Command: RDIResourceCommand.RDICommands_UNDO
- typeControlKey(null, null, 'z');
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_ADD_OBJECT
- // Tcl Message: undo
- // Tcl Message: INFO: [Common 17-17] undo 'delete_bd_objs [get_bd_cells atss_0325_loss_0]'
- // HMemoryUtils.trashcanNow. Engine heap size: 3,731 MB. GUI used memory: 142 MB. Current time: 4/23/22, 10:37:59 PM CST
- // Run Command: RDIResourceCommand.RDICommands_DELETE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // Tcl Message: delete_bd_objs [get_bd_cells atss_0325_loss_0]
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "read_add_one.v", 2); // m (l, cs)
- selectCodeEditor("read_add_one.v", 177, 318); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 222, 322); // bP (w, cs)
- // [Engine Memory]: 3,747 MB (+6790kb) [04:30:44]
- selectCodeEditor("read_add_one.v", 96, 325); // bP (w, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Address Editor", 1); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "System", 0); // m (l, cs)
- // Run Command: RDIResourceCommand.RDICommands_UNDO
- typeControlKey(null, null, 'z');
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_ADD_OBJECT
- // Tcl Message: undo
- // Tcl Message: INFO: [Common 17-17] undo 'delete_bd_objs [get_bd_cells atss_0325_loss_0]'
- // Run Command: RDIResourceCommand.RDICommands_DELETE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // Tcl Message: delete_bd_objs [get_bd_cells atss_0325_loss_0]
- // Elapsed time: 19 seconds
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "read_add_one.v", 2); // m (l, cs)
- selectCodeEditor("read_add_one.v", 273, 337); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 267, 330); // bP (w, cs)
- // HMemoryUtils.trashcanNow. Engine heap size: 3,779 MB. GUI used memory: 142 MB. Current time: 4/23/22, 10:38:34 PM CST
- // Elapsed time: 139 seconds
- selectCodeEditor("read_add_one.v", 171, 322); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 171, 322, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, read_add_one (read_add_one.v)]", 5, false); // B (F, cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, read_add_one (read_add_one.v)]", 5, false, false, false, false, true, false); // B (F, cs) - Popup Trigger
- selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // af (ao, cs)
- selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // af (ao, cs)
- selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ai (ao, cs)
- // Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: FILE_SET_OPTIONS_CHANGE
- // Tcl Message: set_property top read_add_one [current_fileset]
- selectMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_REPORTS, "Reports"); // aa (q, cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_REPORTS, "Reports"); // aa (q, cs)
- selectMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- // HMemoryUtils.trashcanNow. Engine heap size: 3,803 MB. GUI used memory: 142 MB. Current time: 4/23/22, 10:41:09 PM CST
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: update_compile_order -fileset sources_1
- selectMenuItem(PAResourceCommand.PACommandNames_IP_PACKAGER_WIZARD, "Create and Package New IP..."); // ai (cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- // Run Command: PAResourceCommand.PACommandNames_IP_PACKAGER_WIZARD
- // Q (cs): Create and Package New IP: addNotify
- selectButton("NEXT", "Next >"); // JButton (j, Q)
- selectRadioButton(PAResourceItoN.NewIpWizard_PACKAGE_YOUR_CURRENT_PROJECT_USE, "Package your current project. Use the project as the source for creating a new IP Definition."); // b (a, Q)
- // bz (Q): Analyze source files for top modules : addNotify
- selectButton("NEXT", "Next >"); // JButton (j, Q)
- dismissDialog("Analyze source files for top modules"); // bz (Q)
- selectButton("NEXT", "Next >"); // JButton (j, Q)
- selectButton("OptionPane.button", "Overwrite"); // JButton (v, B)
- selectButton("FINISH", "Finish"); // JButton (j, Q)
- // 'q' command handler elapsed time: 7 seconds
- // TclEventType: DG_GRAPH_STALE
- dismissDialog("Create and Package New IP"); // Q (cs)
- // TclEventType: FILE_SET_CHANGE
- // bz (cs): IP Packager : addNotify
- // Tcl Message: ipx::package_project -root_dir /home/lq/dma_try/dma_try.srcs/sources_1 -vendor user.org -library user -taxonomy /UserIP -force
- // TclEventType: PACKAGER_OBJECT_ADD
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PACKAGER_CURRENT_CORE
- // Run Command: PAResourceCommand.PACommandNames_IP_PACKAGER
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- dismissDialog("IP Packager"); // bz (cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Review and Package", 7); // ar (J, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "read_add_one.v", 2); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Project Summary", 3); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Package IP - read_add_one", 4); // m (l, cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Customization Parameters", 3); // ar (J, cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Addressing and Memory", 5); // ar (J, cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Ports and Interfaces", 4); // ar (J, cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Customization Parameters", 3); // ar (J, cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "File Groups", 2); // ar (J, cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Addressing and Memory", 5); // ar (J, cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Ports and Interfaces", 4); // ar (J, cs)
- expandTreeTable(PAResourceOtoP.PortAndInterfaceFacetTable_PORT_AND_INTERFACE_FACET_TABLE, "axis_out ; master ; ; ; ; ; ; ; ; ", 1); // M (J, cs)
- selectTreeTable(PAResourceOtoP.PortAndInterfaceFacetTable_PORT_AND_INTERFACE_FACET_TABLE, "axis_out ; master ; ; ; ; ; ; ; ; ", 1, "axis_out", 0, true); // M (J, cs) - Node
- collapseTreeTable(PAResourceOtoP.PortAndInterfaceFacetTable_PORT_AND_INTERFACE_FACET_TABLE, "axis_out ; master ; ; ; ; ; ; ; ; ", 1); // M (J, cs)
- expandTreeTable(PAResourceOtoP.PortAndInterfaceFacetTable_PORT_AND_INTERFACE_FACET_TABLE, "s_axi ; slave ; ; ; ; ; ; ; ; ", 2); // M (J, cs)
- selectTreeTable(PAResourceOtoP.PortAndInterfaceFacetTable_PORT_AND_INTERFACE_FACET_TABLE, "s_axi ; slave ; ; ; ; ; ; ; ; ", 2, (String) null, 1, true); // M (J, cs) - Node
- collapseTreeTable(PAResourceOtoP.PortAndInterfaceFacetTable_PORT_AND_INTERFACE_FACET_TABLE, "s_axi ; slave ; ; ; ; ; ; ; ; ", 2); // M (J, cs)
- selectTreeTable(PAResourceOtoP.PortAndInterfaceFacetTable_PORT_AND_INTERFACE_FACET_TABLE, "s_axi ; slave ; ; ; ; ; ; ; ; ", 2, (String) null, 1, true); // M (J, cs) - Node
- selectTreeTable(PAResourceOtoP.PortAndInterfaceFacetTable_PORT_AND_INTERFACE_FACET_TABLE, "s_axi ; slave ; ; ; ; ; ; ; ; ", 2, "s_axi", 0, true); // M (J, cs) - Node
- expandTreeTable(PAResourceOtoP.PortAndInterfaceFacetTable_PORT_AND_INTERFACE_FACET_TABLE, "s_axi ; slave ; ; ; ; ; ; ; ; ", 2); // M (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_SAVE_RSB_DESIGN
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // Tcl Message: save_bd_design
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd> Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui>
- // Elapsed time: 20 seconds
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "read_add_one.v", 2); // m (l, cs)
- // Elapsed time: 155 seconds
- selectCodeEditor("read_add_one.v", 166, 347); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 166, 347, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 178, 339); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 178, 339, false, false, false, false, true); // bP (w, cs) - Double Click
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Address Editor", 1); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "System", 0); // m (l, cs)
- // Elapsed time: 309 seconds
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "read_add_one.v", 2); // m (l, cs)
- selectCodeEditor("read_add_one.v", 248, 181); // bP (w, cs)
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // HMemoryUtils.trashcanNow. Engine heap size: 3,883 MB. GUI used memory: 147 MB. Current time: 4/23/22, 10:49:59 PM CST
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: update_compile_order -fileset sources_1
- // G (cs): Invalid Top Module: addNotify
- // Elapsed time: 39 seconds
- dismissDialog("Invalid Top Module"); // G (cs)
- selectCodeEditor("read_add_one.v", 228, 162); // bP (w, cs)
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: update_compile_order -fileset sources_1
- // [Engine Memory]: 3,947 MB (+13138kb) [04:43:28]
- // Elapsed time: 13 seconds
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Project Summary", 3); // m (l, cs)
- // HMemoryUtils.trashcanNow. Engine heap size: 3,947 MB. GUI used memory: 147 MB. Current time: 4/23/22, 10:50:49 PM CST
- // Elapsed time: 40 seconds
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "read_add_one.v", 2); // m (l, cs)
- // Elapsed time: 63 seconds
- selectCodeEditor("read_add_one.v", 544, 185); // bP (w, cs)
- // Elapsed time: 10 seconds
- selectCodeEditor("read_add_one.v", 919, 379); // bP (w, cs)
- // Elapsed time: 30 seconds
- selectCodeEditor("read_add_one.v", 795, 292); // bP (w, cs)
- // Elapsed time: 23 seconds
- selectCodeEditor("read_add_one.v", 734, 241); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 860, 327); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 298, 374); // bP (w, cs)
- // Elapsed time: 11 seconds
- selectCodeEditor("read_add_one.v", 107, 112); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 138, 143); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 138, 143, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 285, 327); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 267, 349); // bP (w, cs)
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- selectCodeEditor("read_add_one.v", 235, 367); // bP (w, cs)
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // Elapsed time: 671 seconds
- selectCodeEditor("read_add_one.v", 38, 356); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 45, 366); // bP (w, cs)
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 269, 278); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 171, 252); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 163, 247); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 163, 247, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 143, 248); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 184, 273); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 202, 82); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 260, 142); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 283, 148); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 190, 131); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 166, 84); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 79, 314); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 731, 281); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 73, 321); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 81, 320); // bP (w, cs)
- // Elapsed time: 18 seconds
- selectCodeEditor("read_add_one.v", 229, 181); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 116, 280); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 116, 280, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 223, 267); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 140, 249); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 101, 34); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 101, 34, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 188, 255); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 190, 254, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 108, 232); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 108, 230, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 128, 264); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 59, 246); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 76, 192); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 112, 205); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 112, 205, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 71, 287); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 66, 300); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 68, 309); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 88, 367); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 84, 367); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 169, 337); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 117, 114); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 117, 114, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 105, 244); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 105, 244, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 110, 36); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 110, 36, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 105, 297); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 102, 302); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 102, 302, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 131, 37); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 131, 37, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'c'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 198, 142); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 198, 142, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 121, 342); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 121, 342, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 118, 308); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 118, 308, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 97, 311); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 97, 311, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 91, 370); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 91, 369, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 85, 200); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 85, 200, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 127, 278); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 127, 278, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 113, 310); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 113, 310, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 93, 147); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 92, 147, false, false, false, false, true); // bP (w, cs) - Double Click
- typeControlKey((HResource) null, "read_add_one.v", 'v'); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 156, 288); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 163, 290); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 170, 293); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 169, 293); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 247, 329); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 200, 339); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 33, 282); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 30, 281, false, false, false, false, true); // bP (w, cs) - Double Click
- selectCodeEditor("read_add_one.v", 31, 340); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 218, 335); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 31, 325); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 251, 331); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 30, 264); // bP (w, cs)
- // Elapsed time: 13 seconds
- selectCodeEditor("read_add_one.v", 196, 205); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 198, 232); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 170, 301); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 168, 293); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 367, 396); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 30, 269); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 25, 255); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 24, 317); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 170, 351); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 31, 386); // bP (w, cs)
- // Elapsed time: 25 seconds
- selectCodeEditor("read_add_one.v", 184, 129); // bP (w, cs)
- selectCodeEditor("read_add_one.v", 196, 207); // bP (w, cs)
- // Elapsed time: 18 seconds
- selectCodeEditor("read_add_one.v", 231, 292); // bP (w, cs)
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: FILE_SET_CHANGE
- // HMemoryUtils.trashcanNow. Engine heap size: 3,979 MB. GUI used memory: 147 MB. Current time: 4/23/22, 11:09:44 PM CST
- // Elapsed time: 14 seconds
- selectCodeEditor("read_add_one.v", 579, 152); // bP (w, cs)
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 21, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
- // A (cs): Synthesis is Out-of-date: addNotify
- dismissDialog("Synthesis is Out-of-date"); // A (cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Package IP - read_add_one", 4); // m (l, cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "File Groups", 2); // ar (J, cs)
|