|
- /*
-
- Xilinx Vivado v2020.1 (64-bit) [Major: 2020, Minor: 1]
- SW Build: 2902540 on Wed May 27 19:54:35 MDT 2020
- IP Build: 2902112 on Wed May 27 22:43:36 MDT 2020
-
- Process ID (PID): 7957
- License: Customer
-
- Current time: Sat Apr 23 23:13:28 CST 2022
- Time zone: China Standard Time (Asia/Shanghai)
-
- OS: Ubuntu
- OS Version: 5.4.0-80-generic
- OS Architecture: amd64
- Available processors (cores): 20
-
- Display: localhost:12.0
- Screen size: 1920x1080
- Screen resolution (DPI): 100
- Available screens: 1
- Default font: family=Dialog,name=Dialog,style=plain,size=12
-
- Java version: 9.0.4 64-bit
- Java home: /tools/Xilinx/Vivado/2020.1/tps/lnx64/jre9.0.4
- Java executable location: /tools/Xilinx/Vivado/2020.1/tps/lnx64/jre9.0.4/bin/java
- Java initial memory (-Xms): 128 MB
- Java maximum memory (-Xmx): 3 GB
-
-
- User name: lq
- User home directory: /home/lq
- User working directory: /home/lq/dma_try
- User country: US
- User language: en
- User locale: en_US
-
- RDI_BASEROOT: /tools/Xilinx/Vivado
- HDI_APPROOT: /tools/Xilinx/Vivado/2020.1
- RDI_DATADIR: /tools/Xilinx/Vivado/2020.1/data
- RDI_BINDIR: /tools/Xilinx/Vivado/2020.1/bin
-
- Vivado preferences file location: /home/lq/.Xilinx/Vivado/2020.1/vivado.xml
- Vivado preferences directory: /home/lq/.Xilinx/Vivado/2020.1/
- Vivado layouts directory: /home/lq/.Xilinx/Vivado/2020.1/data/layouts
- PlanAhead jar file location: /tools/Xilinx/Vivado/2020.1/lib/classes/planAhead.jar
- Vivado log file location: /home/lq/dma_try/vivado.log
- Vivado journal file location: /home/lq/dma_try/vivado.jou
- Engine tmp dir: ./.Xil/Vivado-7957-stat
-
- Xilinx Environment Variables
- ----------------------------
- XILINX: /tools/Xilinx/Vivado/2020.1/ids_lite/ISE
- XILINX_DSP: /tools/Xilinx/Vivado/2020.1/ids_lite/ISE
- XILINX_PLANAHEAD: /tools/Xilinx/Vivado/2020.1
- XILINX_SDK: /tools/Xilinx/Vitis/2020.1
- XILINX_VITIS: /tools/Xilinx/Vitis/2020.1
- XILINX_VIVADO: /tools/Xilinx/Vivado/2020.1
- XILINX_VIVADO_HLS: /tools/Xilinx/Vivado/2020.1
-
-
- GUI allocated memory: 143 MB
- GUI max memory: 3,072 MB
- Engine allocated memory: 1,422 MB
-
- Copyright 1986-2020 Xilinx, Inc. All Rights Reserved.
-
- */
-
- // TclEventType: START_GUI
- // Tcl Message: start_gui
- // Tcl Command: 'rdi::info_commands {device::*}'
- // Tcl Command: 'rdi::info_commands {debug::*}'
- // Tcl Command: 'rdi::info_commands {*}'
- // WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
- // HMemoryUtils.trashcanNow. Engine heap size: 1,422 MB. GUI used memory: 65 MB. Current time: 4/23/22, 11:13:29 PM CST
- selectList(PAResourceQtoS.SyntheticaGettingStartedView_RECENT_PROJECTS, "/home/lq/dma_try/dma_try.xpr", 0); // r (J, cs)
- // [GUI Memory]: 111 MB (+113776kb) [00:00:13]
- // [Engine Memory]: 1,455 MB (+1374120kb) [00:00:13]
- // Opening Vivado Project: /home/lq/dma_try/dma_try.xpr. Version: Vivado v2020.1
- // bz (cs): Open Project : addNotify
- // TclEventType: DEBUG_PROBE_SET_CHANGE
- // Tcl Message: open_project /home/lq/dma_try/dma_try.xpr
- // TclEventType: MSGMGR_MOVEMSG
- // TclEventType: FILE_SET_NEW
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_CURRENT
- // TclEventType: PROJECT_DASHBOARD_NEW
- // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
- // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
- // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
- // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
- // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
- // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
- // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
- // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
- // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
- // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
- // TclEventType: PROJECT_DASHBOARD_GADGET_NEW
- // TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: IP_LOCK_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PROJECT_NEW
- // [GUI Memory]: 117 MB (+100kb) [00:00:20]
- // [GUI Memory]: 124 MB (+1726kb) [00:00:20]
- // WARNING: HEventQueue.dispatchEvent() is taking 1440 ms.
- // Tcl Message: open_project /home/lq/dma_try/dma_try.xpr
- // Tcl Message: Scanning sources... Finished scanning sources
- // Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories
- // Tcl Message: INFO: [IP_Flow 19-1700] Loaded user IP repository '/home/lq/dma_try'.
- // Tcl Message: INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/tools/Xilinx/Vivado/2020.1/data/ip'.
- // Tcl Message: open_project: Time (s): cpu = 00:00:12 ; elapsed = 00:00:07 . Memory (MB): peak = 7147.566 ; gain = 30.031 ; free physical = 81122 ; free virtual = 140846
- // Project name: dma_try; location: /home/lq/dma_try; part: xczu3eg-sbva484-1-e
- // [Engine Memory]: 1,537 MB (+10062kb) [00:00:21]
- dismissDialog("Open Project"); // bz (cs)
- // a (cs): Critical Messages: addNotify
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: update_compile_order -fileset sources_1
- // Elapsed time: 38 seconds
- selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK"); // f (a)
- // [GUI Memory]: 134 MB (+4196kb) [00:01:00]
- dismissDialog("Critical Messages"); // a (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- selectMenuItem(PAResourceCommand.PACommandNames_IP_PACKAGER_WIZARD, "Create and Package New IP..."); // ai (cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- // Run Command: PAResourceCommand.PACommandNames_IP_PACKAGER_WIZARD
- // Q (cs): Create and Package New IP: addNotify
- selectButton("NEXT", "Next >"); // JButton (j, Q)
- selectRadioButton(PAResourceItoN.NewIpWizard_PACKAGE_YOUR_CURRENT_PROJECT_USE, "Package your current project. Use the project as the source for creating a new IP Definition."); // b (a, Q)
- // bz (Q): Analyze source files for top modules : addNotify
- selectButton("NEXT", "Next >"); // JButton (j, Q)
- dismissDialog("Analyze source files for top modules"); // bz (Q)
- selectButton("NEXT", "Next >"); // JButton (j, Q)
- selectButton("OptionPane.button", "Overwrite"); // JButton (v, B)
- selectButton("FINISH", "Finish"); // JButton (j, Q)
- // 'q' command handler elapsed time: 6 seconds
- dismissDialog("Create and Package New IP"); // Q (cs)
- // TclEventType: LOAD_FEATURE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // bz (cs): IP Packager : addNotify
- // Tcl Message: ipx::package_project -root_dir /home/lq/dma_try/dma_try.srcs/sources_1 -vendor user.org -library user -taxonomy /UserIP -force
- // TclEventType: PACKAGER_OBJECT_ADD
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PACKAGER_CURRENT_CORE
- // Run Command: PAResourceCommand.PACommandNames_IP_PACKAGER
- // [GUI Memory]: 143 MB (+2241kb) [00:01:18]
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- dismissDialog("IP Packager"); // bz (cs)
- // HMemoryUtils.trashcanNow. Engine heap size: 1,607 MB. GUI used memory: 84 MB. Current time: 4/23/22, 11:14:39 PM CST
- // [Engine Memory]: 1,626 MB (+12530kb) [00:01:24]
- // Elapsed time: 10 seconds
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Project Summary", 0); // m (l, cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v)]", 2, true); // B (F, cs) - Node
- expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v)]", 2); // B (F, cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v), design_1_i : design_1 (design_1.bd)]", 3, true); // B (F, cs) - Node
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v), design_1_i : design_1 (design_1.bd)]", 3, true); // B (F, cs) - Node
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v), design_1_i : design_1 (design_1.bd)]", 3, true, false, false, false, false, true); // B (F, cs) - Double Click - Node
- // bz (cs): Open Block Design : addNotify
- // TclEventType: LOAD_FEATURE
- // Tcl Message: open_bd_design {/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd}
- // TclEventType: RSB_CHANGE_CURRENT_DIAGRAM
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // Tcl Message: Adding component instance block -- xilinx.com:ip:zynq_ultra_ps_e:3.3 - zynq_ultra_ps_e_0
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_PROPERTY_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_OPEN_DIAGRAM
- // Tcl Message: Adding component instance block -- xilinx.com:ip:axi_dma:7.1 - axi_dma_0
- // TclEventType: RSB_OPEN_DIAGRAM
- // TclEventType: RSB_CONNECTION_CHANGE
- closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // v
- // TclEventType: RSB_LOCK_CHANGE
- // TclEventType: RSB_CHANGE_CURRENT_DIAGRAM
- // TclEventType: RSB_LOCK_CHANGE
- // TclEventType: RSB_CHANGE_CURRENT_DIAGRAM
- dismissDialog("Open Block Design"); // bz (cs)
- // Tcl Command: 'set_property location {2 921 508} [get_bd_cells rst_ps8_0_200M]'
- // TclEventType: RSB_CANVAS_LOCATION
- // Tcl Message: set_property location {2 921 508} [get_bd_cells rst_ps8_0_200M]
- // Elapsed time: 25 seconds
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Address Editor", 1); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Package IP - read_add_one", 2); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Address Editor", 1); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "System", 0); // m (l, cs)
- selectButton(PAResourceQtoS.SystemTab_REPORT_IP_STATUS, "Report IP Status"); // h (ds, cs)
- // Run Command: PAResourceCommand.PACommandNames_REPORT_IP_STATUS
- // Tcl Command: 'report_ip_status -name ip_status '
- // TclEventType: IP_SUMMARY_RESULTS
- // Tcl Message: report_ip_status -name ip_status
- selectButton(PAResourceItoN.IPStatusSectionPanel_UPGRADE_SELECTED, "Upgrade Selected"); // a (g, cs)
- // Run Command: PAResourceCommand.PACommandNames_UPGRADE_IP
- // TclEventType: RSB_OPEN_DIAGRAM
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // bz (cs): Upgrade IP : addNotify
- // TclEventType: RSB_REMOVE_OBJECT
- // Tcl Message: upgrade_ip -srcset design_1 -vlnv user.org:user:read_add_one:1.0 [get_ips design_1_read_add_one_0_5] -log ip_upgrade.log
- // Tcl Message: Upgrading '/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd'
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // [GUI Memory]: 152 MB (+1427kb) [00:02:15]
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILESET_UPDATE_IP
- // TclEventType: IP_UPGRADE_COMPLETE
- // Tcl Message: INFO: [IP_Flow 19-1972] Upgraded design_1_read_add_one_0_5 from read_add_one_v1_0 1.0 to read_add_one_v1_0 1.0
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd> Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui>
- // Tcl Message: INFO: [Coretcl 2-1525] Wrote upgrade log to '/home/lq/dma_try/ip_upgrade.log'.
- // Tcl Message: export_ip_user_files -of_objects [get_ips design_1_read_add_one_0_5] -no_script -sync -force -quiet
- // a (cs): Critical Messages: addNotify
- // aI (cs): Generate Output Products: addNotify
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- selectButton(PAResourceQtoS.SimpleOutputProductDialog_GENERATE_OUTPUT_PRODUCTS_IMMEDIATELY, "Generate"); // a (aI)
- // bz (cs): Managing Output Products : addNotify
- // TclEventType: RSB_SCRIPT_TASK
- // HMemoryUtils.trashcanNow. Engine heap size: 1,656 MB. GUI used memory: 94 MB. Current time: 4/23/22, 11:15:44 PM CST
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: generate_target all [get_files /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd]
- // TclEventType: FILE_SET_CHANGE
- selectButton(RDIResource.ProgressDialog_BACKGROUND, "Background"); // a (bz)
- selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK"); // f (a)
- dismissDialog("Critical Messages"); // a (cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Address Editor", 1); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Package IP - read_add_one", 2); // m (l, cs)
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_CLOSE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // Tcl Message: ERROR: [BD 41-237] Bus Interface property FREQ_HZ does not match between /read_add_one_0/axis_in(100000000) and /axi_dma_0/M_AXIS_MM2S(200000000)
- // Tcl Message: ERROR: [BD 41-237] Bus Interface property FREQ_HZ does not match between /axi_dma_0/S_AXIS_S2MM(200000000) and /read_add_one_0/axis_out(100000000) ERROR: [BD 41-237] Bus Interface property FREQ_HZ does not match between /read_add_one_0/s_axi(100000000) and /ps8_0_axi_periph/xbar/M01_AXI(200000000) ERROR: [BD 41-238] Port/Pin property FREQ_HZ does not match between /read_add_one_0/clk(100000000) and /zynq_ultra_ps_e_0/pl_clk0(200000000) ERROR: [BD 41-1031] Hdl Generation failed for the IP Integrator design /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd
- // Tcl Message: generate_target: Time (s): cpu = 00:00:18 ; elapsed = 00:00:13 . Memory (MB): peak = 7403.512 ; gain = 0.000 ; free physical = 80984 ; free virtual = 140677
- // Tcl Message: ERROR: [Common 17-39] 'generate_target' failed due to earlier errors.
- // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'generate_target' failed due to earlier errors.
- // a (cs): Critical Messages: addNotify
- dismissDialog("Managing Output Products"); // bz (cs)
- // HMemoryUtils.trashcanNow. Engine heap size: 1,684 MB. GUI used memory: 95 MB. Current time: 4/23/22, 11:15:59 PM CST
- // Elapsed time: 116 seconds
- selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK"); // f (a)
- dismissDialog("Critical Messages"); // a (cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Address Editor", 1); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "System", 0); // m (l, cs)
- // Run Command: RDIResourceCommand.RDICommands_DELETE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_SCRIPT_TASK
- // Tcl Message: delete_bd_objs [get_bd_intf_nets axi_dma_0_M_AXIS_MM2S] [get_bd_intf_nets read_add_one_0_axis_out] [get_bd_intf_nets ps8_0_axi_periph_M01_AXI] [get_bd_cells read_add_one_0]
- selectButton(PAResourceQtoS.SystemBuilderView_ADD_IP, "System_RSB_ADD_IP"); // E (g, cs)
- setText("PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE_SEARCH_FIELD", "read"); // OverlayTextField (az, ResizableWindow)
- selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 0, "read_add_one_v1_0", 0, false); // L (J, ResizableWindow)
- expandTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 0); // L (J, ResizableWindow)
- selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 0, "read_add_one_v1_0", 0, false, false, false, false, false, true); // L (J, ResizableWindow) - Double Click
- // TclEventType: REPORT_IP_STATUS_STALE
- // bz (cs): Add IP : addNotify
- // TclEventType: REPORT_IP_STATUS_STALE
- // Tcl Message: startgroup
- // TclEventType: DG_GRAPH_STALE
- // Tcl Message: create_bd_cell -type ip -vlnv user.org:user:read_add_one:1.0 read_add_one_0
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_ADD_OBJECT
- // Tcl Message: endgroup
- dismissDialog("Add IP"); // bz (cs)
- // [Engine Memory]: 1,711 MB (+4207kb) [00:04:46]
- // HMemoryUtils.trashcanNow. Engine heap size: 1,711 MB. GUI used memory: 94 MB. Current time: 4/23/22, 11:18:09 PM CST
- // Tcl Command: 'set_property location {2 368 116} [get_bd_cells read_add_one_0]'
- // TclEventType: RSB_CANVAS_LOCATION
- // Tcl Message: set_property location {2 368 116} [get_bd_cells read_add_one_0]
- // Run Command: PAResourceCommand.PACommandNames_CUSTOMIZE_RSB_PIN
- // r (cs): Customize Pin: addNotify
- // Elapsed time: 14 seconds
- setText("Frequency", "200000000"); // D (bj, r)
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (r)
- // Tcl Command: 'set_property -dict [list CONFIG.FREQ_HZ {200000000}] [get_bd_pins read_add_one_0/clk]'
- // TclEventType: RSB_PROPERTY_CHANGE
- dismissDialog("Customize Pin"); // r (cs)
- // r (cs): Customize Pin: addNotify
- // bz (r): Customize IP : addNotify
- // Tcl Message: set_property -dict [list CONFIG.FREQ_HZ {200000000}] [get_bd_pins read_add_one_0/clk]
- dismissDialog("Customize IP"); // bz (r)
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_in] [get_bd_intf_pins axi_dma_0/M_AXIS_MM2S]
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_out] [get_bd_intf_pins axi_dma_0/S_AXIS_S2MM]
- // Elapsed time: 17 seconds
- selectButton(PAResourceQtoS.RSBApplyAutomationBar_RUN_CONNECTION_AUTOMATION, "Run Connection Automation"); // h (dq, cs)
- // ai (cs): Run Connection Automation: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (ai)
- dismissDialog("Run Connection Automation"); // ai (cs)
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config { Clk_master {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Clk_slave {Auto} Clk_xbar {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Master {/zynq_ultra_ps_e_0/M_AXI_HPM1_FPD} Slave {/read_add_one_0/s_axi} ddr_seg {Auto} intc_ip {/ps8_0_axi_periph} master_apm {0}} [get_bd_intf_pins read_add_one_0/s_axi]
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // bz (cs): Run Connection Automation : addNotify
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: XGUI_RESET_GUI_ELEMENT
- // Tcl Message: Slave segment '/read_add_one_0/s_axi/reg0' is being assigned into address space '/zynq_ultra_ps_e_0/Data' at <0xA001_0000 [ 64K ]>.
- dismissDialog("Run Connection Automation"); // bz (cs)
- // Elapsed time: 10 seconds
- selectButton(PAResourceOtoP.PlanAheadTab_REFRESH_IP_CATALOG, "Refresh IP Catalog"); // h (ds, cs)
- // TclEventType: CREATE_IP_CATALOG
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: IP_LOCK_CHANGE
- // Tcl Message: update_ip_catalog -rebuild -scan_changes
- // TclEventType: IP_LOCK_CHANGE
- // bz (cs): Refresh IP Catalog : addNotify
- // TclEventType: BDCELL_LOCK_CHANGE
- // TclEventType: RSB_LOCK_CHANGE
- // TclEventType: IP_LOCK_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: BDCELL_LOCK_CHANGE
- // TclEventType: IP_LOCK_CHANGE
- // TclEventType: BDCELL_LOCK_CHANGE
- // TclEventType: CREATE_IP_CATALOG
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories
- // Tcl Message: INFO: [IP_Flow 19-1700] Loaded user IP repository '/home/lq/dma_try'.
- // TclEventType: IP_SUMMARY_RESULTS
- // Tcl Message: report_ip_status -name ip_status
- dismissDialog("Refresh IP Catalog"); // bz (cs)
- // HMemoryUtils.trashcanNow. Engine heap size: 1,760 MB. GUI used memory: 96 MB. Current time: 4/23/22, 11:18:54 PM CST
- selectButton(PAResourceItoN.IPStatusSectionPanel_UPGRADE_SELECTED, "Upgrade Selected"); // a (g, cs)
- // Run Command: PAResourceCommand.PACommandNames_UPGRADE_IP
- // TclEventType: RSB_OPEN_DIAGRAM
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // Tcl Message: upgrade_ip [get_ips design_1_read_add_one_0_6] -log ip_upgrade.log
- // Tcl Message: Upgrading '/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd'
- // Tcl Message: INFO: [IP_Flow 19-1972] Upgraded design_1_read_add_one_0_6 from read_add_one_v1_0 1.0 to read_add_one_v1_0 1.0
- // bz (cs): Upgrade IP : addNotify
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILESET_UPDATE_IP
- // TclEventType: IP_UPGRADE_COMPLETE
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd> Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui>
- // Tcl Message: INFO: [Coretcl 2-1525] Wrote upgrade log to '/home/lq/dma_try/ip_upgrade.log'.
- // Tcl Message: export_ip_user_files -of_objects [get_ips design_1_read_add_one_0_6] -no_script -sync -force -quiet
- // a (cs): Critical Messages: addNotify
- // aI (cs): Generate Output Products: addNotify
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- selectButton(PAResourceQtoS.SimpleOutputProductDialog_GENERATE_OUTPUT_PRODUCTS_IMMEDIATELY, "Generate"); // a (aI)
- // bz (cs): Managing Output Products : addNotify
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: generate_target all [get_files /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd]
- // TclEventType: FILE_SET_CHANGE
- // [Engine Memory]: 1,828 MB (+32562kb) [00:05:47]
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- selectButton(RDIResource.ProgressDialog_BACKGROUND, "Background"); // a (bz)
- selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK"); // f (a)
- dismissDialog("Critical Messages"); // a (cs)
- // HMemoryUtils.trashcanNow. Engine heap size: 1,829 MB. GUI used memory: 98 MB. Current time: 4/23/22, 11:19:09 PM CST
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_CLOSE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // Tcl Message: ERROR: [BD 41-237] Bus Interface property FREQ_HZ does not match between /read_add_one_0/axis_in(100000000) and /axi_dma_0/M_AXIS_MM2S(200000000)
- // Tcl Message: ERROR: [BD 41-237] Bus Interface property FREQ_HZ does not match between /axi_dma_0/S_AXIS_S2MM(200000000) and /read_add_one_0/axis_out(100000000) ERROR: [BD 41-237] Bus Interface property FREQ_HZ does not match between /read_add_one_0/s_axi(100000000) and /ps8_0_axi_periph/xbar/M01_AXI(200000000) ERROR: [BD 41-238] Port/Pin property FREQ_HZ does not match between /read_add_one_0/clk(100000000) and /zynq_ultra_ps_e_0/pl_clk0(200000000) ERROR: [BD 41-1031] Hdl Generation failed for the IP Integrator design /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd
- // Tcl Message: generate_target: Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 7490.418 ; gain = 0.000 ; free physical = 80853 ; free virtual = 140547
- // Tcl Message: ERROR: [Common 17-39] 'generate_target' failed due to earlier errors.
- // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'generate_target' failed due to earlier errors.
- // a (cs): Critical Messages: addNotify
- dismissDialog("Managing Output Products"); // bz (cs)
- selectButton(PAResourceAtoD.CmdMsgDialog_OK, "OK"); // f (a)
- dismissDialog("Critical Messages"); // a (cs)
- selectTab(RDIResource.PropertiesView_TABBED_PANE, (HResource) null, "Properties", 1); // i (c, cs)
- selectTab(RDIResource.PropertiesView_TABBED_PANE, (HResource) null, "Interface", 2); // i (c, cs)
- selectTab(RDIResource.PropertiesView_TABBED_PANE, (HResource) null, "General", 0); // i (c, cs)
- selectTab(RDIResource.PropertiesView_TABBED_PANE, (HResource) null, "Properties", 1); // i (c, cs)
- selectTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "CONFIG ; ", 1, "CONFIG", 0, true); // l (C, cs) - Node
- expandTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "CONFIG ; ", 1); // l (C, cs)
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- typeControlKey(null, null, 'z');
- // Run Command: RDIResourceCommand.RDICommands_DELETE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_OBJECT
- // Tcl Message: delete_bd_objs [get_bd_intf_nets axi_dma_0_M_AXIS_MM2S]
- // Run Command: RDIResourceCommand.RDICommands_DELETE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_OBJECT
- // Tcl Message: delete_bd_objs [get_bd_intf_nets ps8_0_axi_periph_M01_AXI]
- // Run Command: RDIResourceCommand.RDICommands_DELETE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_SCRIPT_TASK
- // Tcl Message: delete_bd_objs [get_bd_intf_nets read_add_one_0_axis_out] [get_bd_cells read_add_one_0]
- selectButton(PAResourceQtoS.SystemBuilderView_ADD_IP, "System_RSB_ADD_IP"); // E (g, cs)
- // HMemoryUtils.trashcanNow. Engine heap size: 1,863 MB. GUI used memory: 99 MB. Current time: 4/23/22, 11:19:44 PM CST
- setText("PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE_SEARCH_FIELD", "read"); // OverlayTextField (az, ResizableWindow)
- selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 0, "read_add_one_v1_0", 0, false); // L (J, ResizableWindow)
- expandTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 0); // L (J, ResizableWindow)
- selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 0, "read_add_one_v1_0", 0, false, false, false, false, false, true); // L (J, ResizableWindow) - Double Click
- // TclEventType: REPORT_IP_STATUS_STALE
- // bz (cs): Add IP : addNotify
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: DG_GRAPH_STALE
- // Tcl Message: startgroup
- // Tcl Message: create_bd_cell -type ip -vlnv user.org:user:read_add_one:1.0 read_add_one_0
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_ADD_OBJECT
- // Tcl Message: endgroup
- dismissDialog("Add IP"); // bz (cs)
- // Tcl Command: 'set_property location {2 463 117} [get_bd_cells read_add_one_0]'
- // TclEventType: RSB_CANVAS_LOCATION
- // Tcl Message: set_property location {2 463 117} [get_bd_cells read_add_one_0]
- // Run Command: PAResourceCommand.PACommandNames_CUSTOMIZE_RSB_PIN
- // r (cs): Customize Pin: addNotify
- setText("Frequency", "200000000"); // D (bj, r)
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (r)
- // Tcl Command: 'set_property -dict [list CONFIG.FREQ_HZ {200000000}] [get_bd_pins read_add_one_0/clk]'
- // TclEventType: RSB_PROPERTY_CHANGE
- dismissDialog("Customize Pin"); // r (cs)
- // r (cs): Customize Pin: addNotify
- // bz (r): Customize IP : addNotify
- // Tcl Message: set_property -dict [list CONFIG.FREQ_HZ {200000000}] [get_bd_pins read_add_one_0/clk]
- dismissDialog("Customize IP"); // bz (r)
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_in] [get_bd_intf_pins axi_dma_0/M_AXIS_MM2S]
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_out] [get_bd_intf_pins axi_dma_0/S_AXIS_S2MM]
- selectButton(PAResourceQtoS.RSBApplyAutomationBar_RUN_CONNECTION_AUTOMATION, "Run Connection Automation"); // h (dq, cs)
- // ai (cs): Run Connection Automation: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (ai)
- dismissDialog("Run Connection Automation"); // ai (cs)
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config { Clk_master {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Clk_slave {Auto} Clk_xbar {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Master {/zynq_ultra_ps_e_0/M_AXI_HPM1_FPD} Slave {/read_add_one_0/s_axi} ddr_seg {Auto} intc_ip {/ps8_0_axi_periph} master_apm {0}} [get_bd_intf_pins read_add_one_0/s_axi]
- // bz (cs): Run Connection Automation : addNotify
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: XGUI_RESET_GUI_ELEMENT
- // Tcl Message: Slave segment '/read_add_one_0/s_axi/reg0' is being assigned into address space '/zynq_ultra_ps_e_0/Data' at <0xA001_0000 [ 64K ]>.
- dismissDialog("Run Connection Automation"); // bz (cs)
- // Run Command: PAResourceCommand.PACommandNames_SAVE_RSB_DESIGN
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: save_bd_design
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd> Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui>
- // [Engine Memory]: 1,952 MB (+34392kb) [00:06:50]
- // HMemoryUtils.trashcanNow. Engine heap size: 1,896 MB. GUI used memory: 99 MB. Current time: 4/23/22, 11:20:09 PM CST
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 21, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
- // A (cs): Synthesis is Out-of-date: addNotify
- selectButton(RDIResource.BaseDialog_YES, "Yes"); // a (A)
- // bz (cs): Resetting Runs : addNotify
- dismissDialog("Synthesis is Out-of-date"); // A (cs)
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // Tcl Message: reset_run synth_1
- // f (cs): Launch Runs: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (f)
- // 'cD' command handler elapsed time: 3 seconds
- // TclEventType: RUN_LAUNCH
- dismissDialog("Launch Runs"); // f (cs)
- // Tcl Message: launch_runs impl_1 -to_step write_bitstream -jobs 18
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_MODIFY
- // Tcl Message: [Sat Apr 23 23:20:13 2022] Launched synth_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/synth_1/runme.log [Sat Apr 23 23:20:13 2022] Launched impl_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/impl_1/runme.log
- // TclEventType: RUN_STATUS_CHANGE
- selectTab((HResource) null, (HResource) null, "Sources", 0); // aL (aI, cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, read_add_one (read_add_one.v)]", 4, false); // B (F, cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, read_add_one (read_add_one.v)]", 4, false, false, false, false, false, true); // B (F, cs) - Double Click
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // TclEventType: RUN_FAILED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // ag (cs): Implementation Failed: addNotify
- // Elapsed time: 70 seconds
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (ag)
- // Run Command: PAResourceCommand.PACommandNames_MESSAGE_WINDOW
- dismissDialog("Implementation Failed"); // ag (cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Package IP - read_add_one", 2); // m (l, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "System", 0); // m (l, cs)
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- selectTab(RDIResource.PropertiesView_TABBED_PANE, (HResource) null, "Properties", 1); // i (c, cs)
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // Run Command: RDIResourceCommand.RDICommands_DELETE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_SCRIPT_TASK
- // Tcl Message: delete_bd_objs [get_bd_intf_nets axi_dma_0_M_AXIS_MM2S] [get_bd_intf_nets read_add_one_0_axis_out] [get_bd_intf_nets ps8_0_axi_periph_M01_AXI] [get_bd_cells read_add_one_0]
- selectButton(PAResourceQtoS.SystemBuilderView_ADD_IP, "System_RSB_ADD_IP"); // E (g, cs)
- // HMemoryUtils.trashcanNow. Engine heap size: 1,937 MB. GUI used memory: 100 MB. Current time: 4/23/22, 11:21:44 PM CST
- setText("PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE_SEARCH_FIELD", "read"); // OverlayTextField (az, ResizableWindow)
- selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 0, "read_add_one_v1_0", 0, false); // L (J, ResizableWindow)
- expandTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 0); // L (J, ResizableWindow)
- selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 0, "read_add_one_v1_0", 0, false, false, false, false, false, true); // L (J, ResizableWindow) - Double Click
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_ADD_OBJECT
- // Tcl Message: startgroup
- // Tcl Message: create_bd_cell -type ip -vlnv user.org:user:read_add_one:1.0 read_add_one_0
- // Tcl Message: endgroup
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // Tcl Command: 'set_property location {2 472 109} [get_bd_cells read_add_one_0]'
- // TclEventType: RSB_CANVAS_LOCATION
- // Tcl Message: set_property location {2 472 109} [get_bd_cells read_add_one_0]
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- selectTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "CONFIG ; ", 1, "CONFIG", 0, true); // l (C, cs) - Node
- expandTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "CONFIG ; ", 1); // l (C, cs)
- selectTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "FREQ_HZ ; 100000000", 5, "100000000", 1, false); // l (C, cs)
- // TclEventType: RSB_PROPERTY_CHANGE
- // Tcl Message: set_property CONFIG.FREQ_HZ 200000000 [get_bd_pins /read_add_one_0/clk]
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_in] [get_bd_intf_pins axi_dma_0/M_AXIS_MM2S]
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_out] [get_bd_intf_pins axi_dma_0/S_AXIS_S2MM]
- // Elapsed time: 17 seconds
- selectButton(PAResourceQtoS.RSBApplyAutomationBar_RUN_CONNECTION_AUTOMATION, "Run Connection Automation"); // h (dq, cs)
- // ai (cs): Run Connection Automation: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (ai)
- dismissDialog("Run Connection Automation"); // ai (cs)
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: XGUI_RESET_GUI_ELEMENT
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config { Clk_master {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Clk_slave {Auto} Clk_xbar {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Master {/zynq_ultra_ps_e_0/M_AXI_HPM1_FPD} Slave {/read_add_one_0/s_axi} ddr_seg {Auto} intc_ip {/ps8_0_axi_periph} master_apm {0}} [get_bd_intf_pins read_add_one_0/s_axi]
- // Tcl Message: Slave segment '/read_add_one_0/s_axi/reg0' is being assigned into address space '/zynq_ultra_ps_e_0/Data' at <0xA001_0000 [ 64K ]>.
- // Run Command: PAResourceCommand.PACommandNames_SAVE_RSB_DESIGN
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // Tcl Message: save_bd_design
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd> Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui>
- // HMemoryUtils.trashcanNow. Engine heap size: 1,974 MB. GUI used memory: 98 MB. Current time: 4/23/22, 11:22:19 PM CST
- selectButton(PAResourceItoN.MsgView_CLEAR_MESSAGES_RESULTING_FROM_USER_EXECUTED, "Messages_cleanUpMessages"); // E (g, cs)
- // [Engine Memory]: 2,181 MB (+137250kb) [00:09:06]
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v)]", 2, true); // B (F, cs) - Node
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v)]", 2, true, false, false, false, true, false); // B (F, cs) - Popup Trigger - Node
- selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // af (ao, cs)
- selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // af (ao, cs)
- selectMenuItem(PAResourceCommand.PACommandNames_SET_GLOBAL_INCLUDE, "Set Global Include"); // ai (ao, cs)
- // Run Command: PAResourceCommand.PACommandNames_SET_GLOBAL_INCLUDE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: set_property is_global_include true [get_files /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/hdl/design_1_wrapper.v]
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v)]", 2, true, false, false, false, true, false); // B (F, cs) - Popup Trigger - Node
- selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // af (ao, cs)
- selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // af (ao, cs)
- selectMenuItem(PAResourceCommand.PACommandNames_CLEAR_GLOBAL_INCLUDE, "Clear Global Include"); // ai (ao, cs)
- // Run Command: PAResourceCommand.PACommandNames_CLEAR_GLOBAL_INCLUDE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: set_property is_global_include false [get_files /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/hdl/design_1_wrapper.v]
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v)]", 2, true, false, false, false, true, false); // B (F, cs) - Popup Trigger - Node
- selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // af (ao, cs)
- selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // af (ao, cs)
- selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ai (ao, cs)
- // Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: FILE_SET_OPTIONS_CHANGE
- // Tcl Message: set_property top design_1_wrapper [current_fileset]
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v)]", 2, true); // B (F, cs) - Node
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v)]", 2, true, false, false, false, true, false); // B (F, cs) - Popup Trigger - Node
- selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // af (ao, cs)
- selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // af (ao, cs)
- selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ai (ao, cs)
- // Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: update_compile_order -fileset sources_1
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 21, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
- // A (cs): Synthesis is Out-of-date: addNotify
- dismissDialog("Synthesis is Out-of-date"); // A (cs)
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation, Run Implementation]", 18, false); // u (J, cs)
- // HMemoryUtils.trashcanNow. Engine heap size: 2,053 MB. GUI used memory: 98 MB. Current time: 4/23/22, 11:22:39 PM CST
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation, Run Implementation]", 18, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_RUN_IMPLEMENTATION
- // A (cs): Synthesis is Out-of-date: addNotify
- selectButton(RDIResource.BaseDialog_YES, "Yes"); // a (A)
- // bz (cs): Resetting Runs : addNotify
- dismissDialog("Synthesis is Out-of-date"); // A (cs)
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // Tcl Message: reset_run synth_1
- // f (cs): Launch Runs: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (f)
- // bz (cs): Starting Design Runs : addNotify
- // TclEventType: RUN_LAUNCH
- dismissDialog("Launch Runs"); // f (cs)
- // Tcl Message: launch_runs impl_1 -jobs 18
- // TclEventType: RUN_LAUNCH
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_MODIFY
- // Tcl Message: [Sat Apr 23 23:22:45 2022] Launched synth_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/synth_1/runme.log [Sat Apr 23 23:22:45 2022] Launched impl_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/impl_1/runme.log
- dismissDialog("Starting Design Runs"); // bz (cs)
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_FAILED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // ag (cs): Implementation Failed: addNotify
- // Elapsed time: 143 seconds
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (ag)
- // Run Command: PAResourceCommand.PACommandNames_MESSAGE_WINDOW
- dismissDialog("Implementation Failed"); // ag (cs)
- // Elapsed time: 12 seconds
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation, Run Implementation]", 18, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_RUN_IMPLEMENTATION
- // bz (cs): Resetting Runs : addNotify
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // Tcl Message: reset_run impl_1
- // f (cs): Launch Runs: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (f)
- // bz (cs): Starting Design Runs : addNotify
- dismissDialog("Launch Runs"); // f (cs)
- // TclEventType: RUN_LAUNCH
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_STATUS_CHANGE
- // Tcl Message: launch_runs impl_1 -jobs 18
- // Tcl Message: [Sat Apr 23 23:25:24 2022] Launched impl_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/impl_1/runme.log
- dismissDialog("Starting Design Runs"); // bz (cs)
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_FAILED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // ag (cs): Implementation Failed: addNotify
- // Elapsed time: 95 seconds
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (ag)
- // Run Command: PAResourceCommand.PACommandNames_MESSAGE_WINDOW
- dismissDialog("Implementation Failed"); // ag (cs)
- selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Implementation, Design Initialization, [Project 1-682] Sub-design 'design_1.bd' is not generated for Synthesis target. Please open this sub-design and generate with synth_checkpoint_mode as 'Singular' in original project before adding it to current project.. ]", 2, false); // ah (J, cs)
- selectButton(PAResourceQtoS.SystemBuilderView_ADD_IP, "System_RSB_ADD_IP"); // E (g, cs)
- // Elapsed time: 13 seconds
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, read_add_one (read_add_one.v)]", 4, false); // B (F, cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, read_add_one (read_add_one.v)]", 4, false, false, false, false, false, true); // B (F, cs) - Double Click
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 15, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, read_add_one (read_add_one.v)]", 4, false, false, false, false, true, false); // B (F, cs) - Popup Trigger
- selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // af (ao, cs)
- selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // af (ao, cs)
- selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ai (ao, cs)
- // Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: FILE_SET_OPTIONS_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // Tcl Message: set_property top read_add_one [current_fileset]
- // TclEventType: DG_GRAPH_STALE
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Synthesis, Run Synthesis]", 15, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
- // bz (cs): Resetting Runs : addNotify
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // Tcl Message: reset_run synth_1
- // f (cs): Launch Runs: addNotify
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: update_compile_order -fileset sources_1
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (f)
- // bz (cs): Starting Design Runs : addNotify
- dismissDialog("Launch Runs"); // f (cs)
- // Tcl Message: launch_runs synth_1 -jobs 18
- // TclEventType: RUN_LAUNCH
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_STATUS_CHANGE
- // Tcl Message: [Sat Apr 23 23:27:28 2022] Launched synth_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/synth_1/runme.log
- dismissDialog("Starting Design Runs"); // bz (cs)
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // ag (cs): Synthesis Completed: addNotify
- // Elapsed time: 288 seconds
- dismissDialog("Synthesis Completed"); // ag (cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v)]", 2, true); // B (F, cs) - Node
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v)]", 2, true, false, false, false, true, false); // B (F, cs) - Popup Trigger - Node
- selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // af (ao, cs)
- selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ai (ao, cs)
- // Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: FILE_SET_OPTIONS_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // Tcl Message: set_property top design_1_wrapper [current_fileset]
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: update_compile_order -fileset sources_1
- // [GUI Memory]: 159 MB (+195kb) [00:19:06]
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation, Run Implementation]", 18, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_RUN_IMPLEMENTATION
- // A (cs): Synthesis is Out-of-date: addNotify
- selectButton(RDIResource.BaseDialog_YES, "Yes"); // a (A)
- // bz (cs): Resetting Runs : addNotify
- dismissDialog("Synthesis is Out-of-date"); // A (cs)
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_RESET
- // Tcl Message: reset_run synth_1
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // bz (cs): Resetting Runs : addNotify
- // f (cs): Launch Runs: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (f)
- // bz (cs): Starting Design Runs : addNotify
- // TclEventType: RUN_LAUNCH
- dismissDialog("Launch Runs"); // f (cs)
- // Tcl Message: launch_runs impl_1 -jobs 18
- // TclEventType: RUN_LAUNCH
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_MODIFY
- // Tcl Message: [Sat Apr 23 23:32:30 2022] Launched synth_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/synth_1/runme.log [Sat Apr 23 23:32:30 2022] Launched impl_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/impl_1/runme.log
- dismissDialog("Starting Design Runs"); // bz (cs)
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_FAILED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // ag (cs): Implementation Failed: addNotify
- // Elapsed time: 182 seconds
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (ag)
- // Run Command: PAResourceCommand.PACommandNames_MESSAGE_WINDOW
- dismissDialog("Implementation Failed"); // ag (cs)
- selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Implementation, Design Initialization, [Project 1-682] Sub-design 'design_1.bd' is not generated for Synthesis target. Please open this sub-design and generate with synth_checkpoint_mode as 'Singular' in original project before adding it to current project.. ]", 2, false); // ah (J, cs)
- selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Implementation, Design Initialization, [Project 1-682] Sub-design 'design_1.bd' is not generated for Synthesis target. Please open this sub-design and generate with synth_checkpoint_mode as 'Singular' in original project before adding it to current project.. ]", 2, false, false, false, false, true, false); // ah (J, cs) - Popup Trigger
- selectTree(PAResourceItoN.MsgTreePanel_MESSAGE_VIEW_TREE, "[, Implementation, Design Initialization, [Project 1-682] Sub-design 'design_1.bd' is not generated for Synthesis target. Please open this sub-design and generate with synth_checkpoint_mode as 'Singular' in original project before adding it to current project.. ]", 2, false); // ah (J, cs)
- selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Package IP - read_add_one", 2); // m (l, cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, read_add_one (read_add_one.v)]", 4, false); // B (F, cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v), design_1_i : design_1 (design_1.bd)]", 3, true); // B (F, cs) - Node
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, IP Integrator, Generate Block Design]", 9, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_GENERATE_RSB_DESIGN
- // aI (cs): Generate Output Products: addNotify
- selectButton(PAResourceQtoS.SimpleOutputProductDialog_GENERATE_OUTPUT_PRODUCTS_IMMEDIATELY, "Generate"); // a (aI)
- // bz (cs): Managing Output Products : addNotify
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: generate_target all [get_files /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd]
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_CLOSE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd>
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: VHDL Output written to : /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/synth/design_1.v VHDL Output written to : /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/sim/design_1.v VHDL Output written to : /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/hdl/design_1_wrapper.v
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/xbar . INFO: [BD 41-1029] Generation completed for the IP Integrator block rst_ps8_0_200M .
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: Exporting to file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ip/design_1_axi_smc_0/bd_0/hw_handoff/design_1_axi_smc_0.hwh Generated Block Design Tcl file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ip/design_1_axi_smc_0/bd_0/hw_handoff/design_1_axi_smc_0_bd.tcl Generated Hardware Definition File /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ip/design_1_axi_smc_0/bd_0/synth/design_1_axi_smc_0.hwdef
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block axi_smc . INFO: [BD 41-1029] Generation completed for the IP Integrator block read_add_one_0 .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s00_couplers/auto_ds .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s00_couplers/auto_pc .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s01_couplers/auto_ds .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s01_couplers/auto_pc .
- // Tcl Message: Exporting to file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/hw_handoff/design_1.hwh Generated Block Design Tcl file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/hw_handoff/design_1_bd.tcl Generated Hardware Definition File /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/synth/design_1.hwdef
- // Tcl Message: generate_target: Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 7646.492 ; gain = 0.000 ; free physical = 80691 ; free virtual = 140352
- // Tcl Message: export_ip_user_files -of_objects [get_files /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd] -no_script -sync -force -quiet
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // Tcl Message: reset_run design_1_synth_1
- // Tcl Message: launch_runs design_1_synth_1 -jobs 18
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RUN_LAUNCH
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_STATUS_CHANGE
- // Tcl Message: [Sat Apr 23 23:36:02 2022] Launched design_1_synth_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/design_1_synth_1/runme.log
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PROJECT_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PROJECT_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PROJECT_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: export_simulation -of_objects [get_files /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd] -directory /home/lq/dma_try/dma_try.ip_user_files/sim_scripts -ip_user_files_dir /home/lq/dma_try/dma_try.ip_user_files -ipstatic_source_dir /home/lq/dma_try/dma_try.ip_user_files/ipstatic -lib_map_path [list {modelsim=/home/lq/dma_try/dma_try.cache/compile_simlib/modelsim} {questa=/home/lq/dma_try/dma_try.cache/compile_simlib/questa} {ies=/home/lq/dma_try/dma_try.cache/compile_simlib/ies} {xcelium=/home/lq/dma_try/dma_try.cache/compile_simlib/xcelium} {vcs=/home/lq/dma_try/dma_try.cache/compile_simlib/vcs} {riviera=/home/lq/dma_try/dma_try.cache/compile_simlib/riviera}] -use_ip_compiled_libs -force -quiet
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PROJECT_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PROJECT_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PROJECT_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PROJECT_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PROJECT_CHANGE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // WARNING: HEventQueue.dispatchEvent() is taking 2555 ms.
- // [GUI Memory]: 174 MB (+6352kb) [00:25:34]
- // 'bv' command handler elapsed time: 410 seconds
- // Elapsed time: 409 seconds
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation, Run Implementation]", 18, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_RUN_IMPLEMENTATION
- // A (cs): Synthesis is Out-of-date: addNotify
- selectButton(RDIResource.BaseDialog_YES, "Yes"); // a (A)
- // bz (cs): Resetting Runs : addNotify
- dismissDialog("Synthesis is Out-of-date"); // A (cs)
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // Tcl Message: reset_run synth_1
- // bz (cs): Resetting Runs : addNotify
- // f (cs): Launch Runs: addNotify
- // Elapsed time: 143 seconds
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (f)
- // bz (cs): Starting Design Runs : addNotify
- // TclEventType: RUN_LAUNCH
- dismissDialog("Launch Runs"); // f (cs)
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_MODIFY
- // Tcl Message: launch_runs impl_1 -jobs 18
- // Tcl Message: [Sat Apr 23 23:45:09 2022] Launched synth_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/synth_1/runme.log [Sat Apr 23 23:45:09 2022] Launched impl_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/impl_1/runme.log
- dismissDialog("Starting Design Runs"); // bz (cs)
- // TclEventType: RUN_STATUS_CHANGE
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Customization Parameters", 3); // ar (J, cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "File Groups", 2); // ar (J, cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "Customization Parameters", 3); // ar (J, cs)
- selectList(PAResourceOtoP.PackagerStepsPanel_PACKAGER_STEPS_LIST, "File Groups", 2); // ar (J, cs)
- selectButton(PAResourceItoN.MessageBanner_CHANGES_DETECTED_IN_VIVADO_PROJECT_THAT, "Merge changes from File Groups Wizard"); // h (M, cs)
- // TclEventType: PACKAGER_COMPONENT_RESET
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_OBJECT_CHANGE
- // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'ipx::merge_project_changes' failed due to earlier errors.
- /*
- #--------------------------------------------------------------------------
- # Xilinx Vivado v2020.1 (64-bit)
- # SW Build: 2902540 on Wed May 27 19:54:35 MDT 2020
- # IP Build: 2902112 on Wed May 27 22:43:36 MDT 2020
- # Current time: Sat Apr 23 23:45:26 CST 2022
- # Process ID (PID): 7957
- # OS: Ubuntu
- # User: lq
- #
- # This file is an indication that an internal application error occurred.
- # This information is useful for debugging. Please open a case with Xilinx.
- # Technical Support with this file and a testcase attached.
- #--------------------------------------------------------------------------
- ui.frmwork.CommandFailedException: ERROR: [Common 17-39] 'ipx::merge_project_changes' failed due to earlier errors.
- (See /home/lq/dma_try/vivado_pid7957.debug)
- */
- // Tcl Message: ipx::merge_project_changes files [ipx::current_core]
- // Tcl Message: ERROR: [Common 17-39] 'ipx::merge_project_changes' failed due to earlier errors.
- // HOptionPane Error: 'ERROR: [Common 17-39] 'ipx::merge_project_changes' failed due to earlier errors. (Vivado v2020.1 (64-bit))'
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- selectButton(PAResourceQtoS.SyntheticaStateMonitor_CANCEL, "Cancel"); // h (Q, cs)
- // bz (cs): Resetting Runs : addNotify
- selectButton("OptionPane.button", "Cancel Process"); // JButton (v, B)
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // Tcl Message: reset_run synth_1
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- dismissDialog("Resetting Runs"); // bz (cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, read_add_one (read_add_one.v)]", 4, false); // B (F, cs)
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, read_add_one (read_add_one.v)]", 4, false, false, false, false, true, false); // B (F, cs) - Popup Trigger
- selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // af (ao, cs)
- selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // af (ao, cs)
- selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ai (ao, cs)
- // Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: FILE_SET_OPTIONS_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // Tcl Message: set_property top read_add_one [current_fileset]
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: update_compile_order -fileset sources_1
- // Elapsed time: 13 seconds
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 21, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
- // am (cs): Save Project: addNotify
- dismissDialog("Save Project"); // am (cs)
- selectMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- selectMenuItem(PAResourceCommand.PACommandNames_IP_PACKAGER_WIZARD, "Create and Package New IP..."); // ai (cs)
- dismissMenu(PAResourceItoN.MainMenuMgr_TOOLS, "Tools"); // aa (q, cs)
- // Run Command: PAResourceCommand.PACommandNames_IP_PACKAGER_WIZARD
- // Q (cs): Create and Package New IP: addNotify
- selectButton("NEXT", "Next >"); // JButton (j, Q)
- selectRadioButton(PAResourceItoN.NewIpWizard_PACKAGE_YOUR_CURRENT_PROJECT_USE, "Package your current project. Use the project as the source for creating a new IP Definition."); // b (a, Q)
- // bz (Q): Analyze source files for top modules : addNotify
- selectButton("NEXT", "Next >"); // JButton (j, Q)
- dismissDialog("Analyze source files for top modules"); // bz (Q)
- selectButton("NEXT", "Next >"); // JButton (j, Q)
- selectButton("OptionPane.button", "Overwrite"); // JButton (v, B)
- selectButton("FINISH", "Finish"); // JButton (j, Q)
- // 'q' command handler elapsed time: 6 seconds
- // TclEventType: PACKAGER_UNLOAD_CORE
- dismissDialog("Create and Package New IP"); // Q (cs)
- closeView(PAResourceOtoP.PAViews_PACKAGE_IP, "Package IP - read_add_one"); // ac
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: ipx::package_project -root_dir /home/lq/dma_try/dma_try.srcs/sources_1 -vendor user.org -library user -taxonomy /UserIP -force
- // bz (cs): IP Packager : addNotify
- // TclEventType: PACKAGER_OBJECT_ADD
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PACKAGER_CURRENT_CORE
- // Run Command: PAResourceCommand.PACommandNames_IP_PACKAGER
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // [GUI Memory]: 187 MB (+4666kb) [00:32:47]
- dismissDialog("IP Packager"); // bz (cs)
- selectButton(PAResourceOtoP.PlanAheadTab_REFRESH_IP_CATALOG, "Refresh IP Catalog"); // h (ds, cs)
- // TclEventType: CREATE_IP_CATALOG
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: IP_LOCK_CHANGE
- // TclEventType: BDCELL_LOCK_CHANGE
- // TclEventType: CREATE_IP_CATALOG
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // bz (cs): Refresh IP Catalog : addNotify
- // Tcl Message: update_ip_catalog -rebuild -scan_changes
- // Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories
- // Tcl Message: INFO: [IP_Flow 19-1700] Loaded user IP repository '/home/lq/dma_try'.
- // TclEventType: IP_SUMMARY_RESULTS
- // Tcl Message: report_ip_status -name ip_status
- dismissDialog("Refresh IP Catalog"); // bz (cs)
- selectButton(PAResourceQtoS.SystemTab_UPGRADE_LATER, "Upgrade Later"); // h (ds, cs)
- selectButton(PAResourceItoN.IPStatusSectionPanel_UPGRADE_SELECTED, "Upgrade Selected"); // a (g, cs)
- // Run Command: PAResourceCommand.PACommandNames_UPGRADE_IP
- // TclEventType: RSB_OPEN_DIAGRAM
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: DG_GRAPH_STALE
- // bz (cs): Upgrade IP : addNotify
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: upgrade_ip -srcset design_1 -vlnv user.org:user:read_add_one:1.0 [get_ips design_1_read_add_one_0_8] -log ip_upgrade.log
- // Tcl Message: Upgrading '/home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd'
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILESET_UPDATE_IP
- // TclEventType: IP_UPGRADE_COMPLETE
- // Tcl Message: INFO: [IP_Flow 19-1972] Upgraded design_1_read_add_one_0_8 from read_add_one_v1_0 1.0 to read_add_one_v1_0 1.0
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd>
- // Tcl Message: INFO: [Coretcl 2-1525] Wrote upgrade log to '/home/lq/dma_try/ip_upgrade.log'.
- // Tcl Message: export_ip_user_files -of_objects [get_ips design_1_read_add_one_0_8] -no_script -sync -force -quiet
- // aI (cs): Generate Output Products: addNotify
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- selectButton(PAResourceQtoS.SimpleOutputProductDialog_GENERATE_OUTPUT_PRODUCTS_IMMEDIATELY, "Generate"); // a (aI)
- // bz (cs): Managing Output Products : addNotify
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: generate_target all [get_files /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd]
- // TclEventType: FILE_SET_CHANGE
- selectButton(RDIResource.ProgressDialog_BACKGROUND, "Background"); // a (bz)
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_CLOSE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // Tcl Message: ERROR: [BD 41-237] Bus Interface property FREQ_HZ does not match between /read_add_one_0/axis_in(100000000) and /axi_dma_0/M_AXIS_MM2S(200000000)
- // Tcl Message: ERROR: [BD 41-237] Bus Interface property FREQ_HZ does not match between /axi_dma_0/S_AXIS_S2MM(200000000) and /read_add_one_0/axis_out(100000000) ERROR: [BD 41-237] Bus Interface property FREQ_HZ does not match between /read_add_one_0/s_axi(100000000) and /ps8_0_axi_periph/xbar/M01_AXI(200000000) ERROR: [BD 41-238] Port/Pin property FREQ_HZ does not match between /read_add_one_0/clk(100000000) and /zynq_ultra_ps_e_0/pl_clk0(200000000) ERROR: [BD 41-1031] Hdl Generation failed for the IP Integrator design /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd
- // Tcl Message: generate_target: Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 7911.074 ; gain = 0.000 ; free physical = 80667 ; free virtual = 140318
- // Tcl Message: ERROR: [Common 17-39] 'generate_target' failed due to earlier errors.
- // CommandFailedException: ERROR: [Common 17-69] Command failed: ERROR: [Common 17-39] 'generate_target' failed due to earlier errors.
- // a (cs): Critical Messages: addNotify
- // Elapsed time: 10 seconds
- dismissDialog("Managing Output Products"); // bz (cs)
- dismissDialog("Critical Messages"); // a (cs)
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_BLOCK_SIZE
- // TclEventType: RSB_CANVAS_LOCATION
- // Run Command: RDIResourceCommand.RDICommands_DELETE
- // TclEventType: RSB_CANVAS_LOCATION
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_SCRIPT_TASK
- // [GUI Memory]: 197 MB (+507kb) [00:33:26]
- // Tcl Message: delete_bd_objs [get_bd_intf_nets ps8_0_axi_periph_M01_AXI] [get_bd_intf_nets read_add_one_0_axis_out] [get_bd_intf_nets axi_dma_0_M_AXIS_MM2S] [get_bd_cells read_add_one_0]
- selectButton(PAResourceQtoS.SystemBuilderView_ADD_IP, "System_RSB_ADD_IP"); // E (g, cs)
- setText("PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE_SEARCH_FIELD", "read"); // OverlayTextField (az, ResizableWindow)
- selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 0, "read_add_one_v1_0", 0, false); // L (J, ResizableWindow)
- expandTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 0); // L (J, ResizableWindow)
- selectTreeTable(PAResourceAtoD.CoreTreeTablePanel_CORE_TREE_TABLE, "read_add_one_v1_0", 0, "read_add_one_v1_0", 0, false, false, false, false, false, true); // L (J, ResizableWindow) - Double Click
- // TclEventType: REPORT_IP_STATUS_STALE
- // bz (cs): Add IP : addNotify
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: DG_GRAPH_STALE
- // Tcl Message: startgroup
- // Tcl Message: create_bd_cell -type ip -vlnv user.org:user:read_add_one:1.0 read_add_one_0
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_ADD_OBJECT
- // Tcl Message: endgroup
- dismissDialog("Add IP"); // bz (cs)
- // [Engine Memory]: 2,356 MB (+69268kb) [00:33:32]
- // Tcl Command: 'set_property location {2 446 114} [get_bd_cells read_add_one_0]'
- // TclEventType: RSB_CANVAS_LOCATION
- // Tcl Message: set_property location {2 446 114} [get_bd_cells read_add_one_0]
- // HMemoryUtils.trashcanNow. Engine heap size: 2,268 MB. GUI used memory: 116 MB. Current time: 4/23/22, 11:46:54 PM CST
- selectTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "CONFIG ; ", 1, "CONFIG", 0, true); // l (C, cs) - Node
- selectTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "DEFAULT_DRIVER ; ", 2, "DEFAULT_DRIVER", 0, false); // l (C, cs)
- expandTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "CONFIG ; ", 1); // l (C, cs)
- selectTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "FREQ_TOLERANCE_HZ ; 0", 6, "0", 1, false); // l (C, cs)
- selectTreeTable(PAResourceTtoZ.TclObjectTreeTable_TREETABLE, "FREQ_HZ ; 100000000", 5, "100000000", 1, false); // l (C, cs)
- // TclEventType: RSB_PROPERTY_CHANGE
- // Tcl Message: set_property CONFIG.FREQ_HZ 200000000 [get_bd_pins /read_add_one_0/clk]
- selectButton(PAResourceQtoS.RSBApplyAutomationBar_RUN_CONNECTION_AUTOMATION, "Run Connection Automation"); // h (dq, cs)
- // ai (cs): Run Connection Automation: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (ai)
- dismissDialog("Run Connection Automation"); // ai (cs)
- // TclEventType: XGUI_UPDATE_GUI_ELEMENT
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // Tcl Message: apply_bd_automation -rule xilinx.com:bd_rule:axi4 -config { Clk_master {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Clk_slave {Auto} Clk_xbar {/zynq_ultra_ps_e_0/pl_clk0 (200 MHz)} Master {/zynq_ultra_ps_e_0/M_AXI_HPM1_FPD} Slave {/read_add_one_0/s_axi} ddr_seg {Auto} intc_ip {/ps8_0_axi_periph} master_apm {0}} [get_bd_intf_pins read_add_one_0/s_axi]
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // bz (cs): Run Connection Automation : addNotify
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: XGUI_RESET_GUI_ELEMENT
- // Tcl Message: Slave segment '/read_add_one_0/s_axi/reg0' is being assigned into address space '/zynq_ultra_ps_e_0/Data' at <0xA001_0000 [ 64K ]>.
- dismissDialog("Run Connection Automation"); // bz (cs)
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_out] [get_bd_intf_pins axi_dma_0/S_AXIS_S2MM]
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_REMOVE_ADDRNETWORK
- // TclEventType: RSB_INSERT_ADDRNETWORK
- // TclEventType: RSB_CONNECTION_CHANGE
- // Tcl Message: connect_bd_intf_net [get_bd_intf_pins read_add_one_0/axis_in] [get_bd_intf_pins axi_dma_0/M_AXIS_MM2S]
- // Run Command: PAResourceCommand.PACommandNames_SAVE_RSB_DESIGN
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: save_bd_design
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd> Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ui/bd_1f5defd0.ui>
- // Elapsed time: 14 seconds
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 21, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
- // A (cs): No Implementation Results Available: addNotify
- // 'cD' command handler elapsed time: 3 seconds
- dismissDialog("No Implementation Results Available"); // A (cs)
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, IP Integrator, Generate Block Design]", 9, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_GENERATE_RSB_DESIGN
- // aI (cs): Generate Output Products: addNotify
- selectButton(PAResourceQtoS.SimpleOutputProductDialog_GENERATE_OUTPUT_PRODUCTS_IMMEDIATELY, "Generate"); // a (aI)
- // bz (cs): Managing Output Products : addNotify
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: generate_target all [get_files /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd]
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: RSB_REMOVE_OBJECT
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_CLOSE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SAVE_DIAGRAM
- // TclEventType: REPORT_IP_STATUS_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RSB_SCRIPT_TASK
- // Tcl Message: Wrote : </home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd> VHDL Output written to : /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/synth/design_1.v VHDL Output written to : /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/sim/design_1.v VHDL Output written to : /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/hdl/design_1_wrapper.v
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: Exporting to file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ip/design_1_axi_smc_0/bd_0/hw_handoff/design_1_axi_smc_0.hwh Generated Block Design Tcl file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ip/design_1_axi_smc_0/bd_0/hw_handoff/design_1_axi_smc_0_bd.tcl Generated Hardware Definition File /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/ip/design_1_axi_smc_0/bd_0/synth/design_1_axi_smc_0.hwdef
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block axi_smc . INFO: [BD 41-1029] Generation completed for the IP Integrator block read_add_one_0 .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s00_couplers/auto_ds .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s00_couplers/auto_pc .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s01_couplers/auto_ds .
- // Tcl Message: INFO: [BD 41-1029] Generation completed for the IP Integrator block ps8_0_axi_periph/s01_couplers/auto_pc .
- // Tcl Message: Exporting to file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/hw_handoff/design_1.hwh Generated Block Design Tcl file /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/hw_handoff/design_1_bd.tcl Generated Hardware Definition File /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/synth/design_1.hwdef
- // Tcl Message: generate_target: Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 7983.074 ; gain = 0.000 ; free physical = 80645 ; free virtual = 140307
- // Tcl Message: export_ip_user_files -of_objects [get_files /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd] -no_script -sync -force -quiet
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // Tcl Message: reset_run design_1_synth_1
- // Tcl Message: launch_runs design_1_synth_1 -jobs 18
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: RUN_LAUNCH
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_STATUS_CHANGE
- // Tcl Message: [Sat Apr 23 23:47:44 2022] Launched design_1_synth_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/design_1_synth_1/runme.log
- // TclEventType: FILE_SET_CHANGE
- // HMemoryUtils.trashcanNow. Engine heap size: 2,301 MB. GUI used memory: 116 MB. Current time: 4/23/22, 11:47:44 PM CST
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PROJECT_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: export_simulation -of_objects [get_files /home/lq/dma_try/dma_try.srcs/sources_1/bd/design_1/design_1.bd] -directory /home/lq/dma_try/dma_try.ip_user_files/sim_scripts -ip_user_files_dir /home/lq/dma_try/dma_try.ip_user_files -ipstatic_source_dir /home/lq/dma_try/dma_try.ip_user_files/ipstatic -lib_map_path [list {modelsim=/home/lq/dma_try/dma_try.cache/compile_simlib/modelsim} {questa=/home/lq/dma_try/dma_try.cache/compile_simlib/questa} {ies=/home/lq/dma_try/dma_try.cache/compile_simlib/ies} {xcelium=/home/lq/dma_try/dma_try.cache/compile_simlib/xcelium} {vcs=/home/lq/dma_try/dma_try.cache/compile_simlib/vcs} {riviera=/home/lq/dma_try/dma_try.cache/compile_simlib/riviera}] -use_ip_compiled_libs -force -quiet
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PROJECT_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PROJECT_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PROJECT_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PROJECT_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PROJECT_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PROJECT_CHANGE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PROJECT_CHANGE
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: PACKAGER_MESSAGE_RESET
- // TclEventType: PACKAGER_UNLOAD_CORE
- // TclEventType: FILE_SET_CHANGE
- // 'bv' command handler elapsed time: 28 seconds
- // Elapsed time: 26 seconds
- selectButton("OptionPane.button", "OK"); // JButton (v, B)
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 21, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
- // A (cs): No Implementation Results Available: addNotify
- // HMemoryUtils.trashcanNow. Engine heap size: 2,333 MB. GUI used memory: 114 MB. Current time: 4/23/22, 11:48:04 PM CST
- selectButton(RDIResource.BaseDialog_YES, "Yes"); // a (A)
- // bz (cs): Resetting Runs : addNotify
- // f (cs): Launch Runs: addNotify
- dismissDialog("No Implementation Results Available"); // A (cs)
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (f)
- // TclEventType: RUN_LAUNCH
- dismissDialog("Launch Runs"); // f (cs)
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_MODIFY
- // Tcl Message: launch_runs impl_1 -to_step write_bitstream -jobs 18
- // Tcl Message: [Sat Apr 23 23:48:07 2022] Launched synth_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/synth_1/runme.log [Sat Apr 23 23:48:07 2022] Launched impl_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/impl_1/runme.log
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // TclEventType: RUN_FAILED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // ag (cs): Implementation Failed: addNotify
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // Elapsed time: 203 seconds
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (ag)
- // Run Command: PAResourceCommand.PACommandNames_MESSAGE_WINDOW
- dismissDialog("Implementation Failed"); // ag (cs)
- // [Engine Memory]: 2,843 MB (+387492kb) [00:38:15]
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v)]", 2, true); // B (F, cs) - Node
- selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, design_1_wrapper (design_1_wrapper.v)]", 2, true, false, false, false, true, false); // B (F, cs) - Popup Trigger - Node
- // HMemoryUtils.trashcanNow. Engine heap size: 2,408 MB. GUI used memory: 117 MB. Current time: 4/23/22, 11:51:34 PM CST
- selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // af (ao, cs)
- selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ai (ao, cs)
- // Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: FILE_SET_OPTIONS_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // Tcl Message: set_property top design_1_wrapper [current_fileset]
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: DG_GRAPH_STALE
- // TclEventType: FILE_SET_CHANGE
- // TclEventType: PACKAGER_MESSAGE_UPDATE
- // TclEventType: FILE_SET_CHANGE
- // Tcl Message: update_compile_order -fileset sources_1
- // Elapsed time: 10 seconds
- selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Implementation, Run Implementation]", 18, false); // u (J, cs)
- // Run Command: PAResourceCommand.PACommandNames_RUN_IMPLEMENTATION
- // A (cs): Synthesis is Out-of-date: addNotify
- selectButton(RDIResource.BaseDialog_YES, "Yes"); // a (A)
- // bz (cs): Resetting Runs : addNotify
- dismissDialog("Synthesis is Out-of-date"); // A (cs)
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_RESET
- // TclEventType: RUN_MODIFY
- // Tcl Message: reset_run synth_1
- // bz (cs): Resetting Runs : addNotify
- // f (cs): Launch Runs: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (f)
- // bz (cs): Starting Design Runs : addNotify
- // TclEventType: RUN_LAUNCH
- dismissDialog("Launch Runs"); // f (cs)
- // Tcl Message: launch_runs impl_1 -jobs 18
- // TclEventType: RUN_LAUNCH
- // TclEventType: RUN_MODIFY
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_MODIFY
- // Tcl Message: [Sat Apr 23 23:51:49 2022] Launched synth_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/synth_1/runme.log [Sat Apr 23 23:51:49 2022] Launched impl_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/impl_1/runme.log
- dismissDialog("Starting Design Runs"); // bz (cs)
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_STEP_COMPLETED
- // ag (cs): Implementation Completed: addNotify
- // Elapsed time: 313 seconds
- selectRadioButton(PAResourceCommand.PACommandNames_RUN_BITGEN, "Generate Bitstream"); // a (Q, ag)
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (ag)
- // Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
- // f (cs): Launch Runs: addNotify
- selectButton(RDIResource.BaseDialog_OK, "OK"); // a (f)
- // TclEventType: RUN_LAUNCH
- dismissDialog("Launch Runs"); // f (cs)
- // TclEventType: RUN_MODIFY
- // Tcl Message: launch_runs impl_1 -to_step write_bitstream -jobs 18
- // Tcl Message: [Sat Apr 23 23:57:05 2022] Launched impl_1... Run output will be captured here: /home/lq/dma_try/dma_try.runs/impl_1/runme.log
- // TclEventType: RUN_STATUS_CHANGE
- // TclEventType: RUN_COMPLETED
- // TclEventType: RUN_STATUS_CHANGE
- // ag (cs): Bitstream Generation Completed: addNotify
|