You can not select more than 25 topics Topics must start with a chinese character,a letter or number, can include dashes ('-') and can be up to 35 characters long.
A00老虎 4a31f82cd9 更新 'README.md' 2 years ago
README.md 更新 'README.md' 2 years ago
edif2blif.patch 上传了patch 2 years ago
rapidSmith.patch 上传了patch 2 years ago
vtr.patch 上传了patch 2 years ago

PinTu电子设计自动化(EDA)软件,是国际上最早的面向BBD型自定时型异步电路的EDA工具链。此工具提供了丰富的异步微流水线模版和全异步算子模块,使用者采用Verilog HDL并结合模版可设计出RTL级异步电路。此工具针对异步设计进行综合、延迟匹配与时序验证和仿真功能,完成从RTL级到门级工艺网表的综合,提供面向FPGA的布图和比特流生成功能,以及商用EDA的接口。

Diff

Contributors (3)